реклама на сайте
подробности

 
 
4 страниц V  < 1 2 3 4 >  
Reply to this topicStart new topic
> xHDL. Хрестоматия для начинающих :), Начинаем изучать xHDL
DSIoffe
сообщение Apr 20 2010, 07:48
Сообщение #31


Дима
*****

Группа: Свой
Сообщений: 1 683
Регистрация: 15-12-04
Из: Санкт-Петербург
Пользователь №: 1 486



Огромное спасибо всем, кто советовал Уэйкерли, отдельно тому, кто дал ссылку smile.gif Не побоюсь этого слова - гениальная книга, другого такого логичного и понятного материала по этой теме я не видел, плюс к тому совершенно без воды, ну ни одной лишней буковки.


--------------------
© CОПЫРИГХТ: Дмитрий Иоффе, Советский Союз.
Приглашаю посмотреть: http://muradowa.spb.ru/ и http://www.drtata.narod.ru/index.html
Go to the top of the page
 
+Quote Post
_Serg
сообщение May 31 2010, 06:17
Сообщение #32





Группа: Новичок
Сообщений: 3
Регистрация: 13-07-05
Пользователь №: 6 767



IEEE Std 1076™-2008 IEEE Standard VHDL Language Reference Manual
26 January 2009
(Revision of IEEE Std 1076-2002)

Approved 26 September 2008
IEEE SA-Standards Board

_ttp://rghost.net/1758888
Go to the top of the page
 
+Quote Post
myq
сообщение Sep 14 2010, 19:12
Сообщение #33


Местный
***

Группа: Свой
Сообщений: 208
Регистрация: 11-05-04
Из: World wide
Пользователь №: 3



Ищется литература.

Авторство Doulos: SystemVerilog Golden Reference Guide, http://www.doulos.com/content/products/gol...temVerilo-43475

Автор Brian Bailey: The Functional Verification of Electronic Systems, http://www.amazon.com/Functional-Verificat...k/dp/1931695318

Авторы Ben Cohen, Srinivasan Venkataramanan, Ajeetha Kumari, Lisa Piper: SystemVerilog Assertions Handbook, 2nd Edition http://www.systemverilog.us


--------------------
IPSA SCIENTIA POTESTAS EST
Go to the top of the page
 
+Quote Post
CaPpuCcino
сообщение Sep 14 2010, 21:04
Сообщение #34


тоже уже Гуру
******

Группа: Свой
Сообщений: 2 047
Регистрация: 13-06-05
Из: Кёлн - Санкт-Петербург
Пользователь №: 5 973



Цитата(myq @ Sep 14 2010, 22:12) *
Ищется литература.

прошу заметить, что литература ищется в магазинах и библиотеках
некоторые вопросы, похожие на ваш, обсуждаются в местах не столь открытых внешним поисковым системам, например здесь-> http://electronix.ru/forum/index.php?showforum=62

в данном форуме литература исключительно (!)обсуждается(!)


--------------------
И снова на арене цирка - дрессированные клоуны!! Оказываем консультации по электронике за симпу круглосуточно.
Go to the top of the page
 
+Quote Post
lexus.mephi
сообщение Sep 15 2010, 11:38
Сообщение #35


Местный
***

Группа: Свой
Сообщений: 268
Регистрация: 24-09-05
Пользователь №: 8 903



Цитата(myq @ Sep 14 2010, 23:12) *
Ищется литература.

Авторство Doulos: SystemVerilog Golden Reference Guide, http://www.doulos.com/content/products/gol...temVerilo-43475

Автор Brian Bailey: The Functional Verification of Electronic Systems, http://www.amazon.com/Functional-Verificat...k/dp/1931695318

Авторы Ben Cohen, Srinivasan Venkataramanan, Ajeetha Kumari, Lisa Piper: SystemVerilog Assertions Handbook, 2nd Edition http://www.systemverilog.us

Последнюю могу на мыло выслать. А остальные поищите по ссылкам здесь systemverilog.ru. И не злите больше админов форума.


--------------------
Мои сайты:www.systemverilog.ru
Go to the top of the page
 
+Quote Post
Myron
сообщение Sep 16 2010, 14:31
Сообщение #36


Профессионал
*****

Группа: Свой
Сообщений: 1 849
Регистрация: 6-02-05
Пользователь №: 2 451



Цитата(DSIoffe @ Apr 20 2010, 01:48) *
Огромное спасибо всем, кто советовал Уэйкерли, отдельно тому, кто дал ссылку smile.gif Не побоюсь этого слова - гениальная книга, другого такого логичного и понятного материала по этой теме я не видел, плюс к тому совершенно без воды, ну ни одной лишней буковки.


DSIoffe,
подскажите, пожалуйста, что за книга
(не эта ли: Проектирование цифровых устройств, T.1,2. Уейкерли Дж)
Go to the top of the page
 
+Quote Post
des333
сообщение Sep 16 2010, 14:50
Сообщение #37


Профессионал
*****

Группа: Свой
Сообщений: 1 129
Регистрация: 19-07-08
Из: Санкт-Петербург
Пользователь №: 39 079



Цитата(Myron @ Sep 16 2010, 18:31) *
DSIoffe,
подскажите, пожалуйста, что за книга
Не пробовали поискать "Уэйкерли", например, в Гугле?




--------------------
Go to the top of the page
 
+Quote Post
des00
сообщение Sep 17 2010, 01:56
Сообщение #38


Вечный ламер
******

Группа: Модераторы
Сообщений: 7 248
Регистрация: 18-03-05
Из: Томск
Пользователь №: 3 453



Пост перенесен в закрытую ветку. Напоминаю о том, что нельзя публиковать материал защищённый авторским правом в открытом доступе.
Модератор.


--------------------
Go to the top of the page
 
+Quote Post
Myron
сообщение Sep 17 2010, 03:48
Сообщение #39


Профессионал
*****

Группа: Свой
Сообщений: 1 849
Регистрация: 6-02-05
Пользователь №: 2 451



Цитата(des333 @ Sep 16 2010, 09:50) *
Не пробовали поискать "Уэйкерли", например, в Гугле?


Вопрос был КАКАЯ книга, а не где найти.
DSIoffe всегда корректен и по делу, его уважаю и мнение его мне интересно
Go to the top of the page
 
+Quote Post
Maverick
сообщение Sep 17 2010, 05:56
Сообщение #40


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(Myron @ Sep 17 2010, 06:48) *
Вопрос был КАКАЯ книга, а не где найти.
DSIoffe всегда корректен и по делу, его уважаю и мнение его мне интересно

Ответ найдете здесь
Мой пост был перенесен модератором des00.
PS des00 - запамятовал sad.gif, больше не буду smile.gif


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
#_Alec_#
сообщение Nov 11 2010, 08:15
Сообщение #41


Участник
*

Группа: Участник
Сообщений: 17
Регистрация: 25-01-10
Из: Москва
Пользователь №: 55 055



Какую литературу посоветуете для написания testBenchей на Verilog (под A-HDL)- непонятно - как файл формировать, и задавать периодичность сигналов, как строить always блоки, если такое возможно?

- при беглом взгляде - Верилог для тестбенча несколько отличается - чем просто писать на под ПЛИС для синтезирования.
Go to the top of the page
 
+Quote Post
iosifk
сообщение Nov 11 2010, 08:40
Сообщение #42


Гуру
******

Группа: Модераторы
Сообщений: 4 011
Регистрация: 8-09-05
Из: спб
Пользователь №: 8 369



Цитата(#_Alec_# @ Nov 11 2010, 12:15) *
Какую литературу посоветуете для написания testBenchей на Verilog


Ваш почтовый ящик не работает, поэтому пишу сюда...
У меня на сайте статьи - "Краткий курс HDL"...
Если в Питере, то приходите на Радел!


--------------------
www.iosifk.narod.ru
Go to the top of the page
 
+Quote Post
#_Alec_#
сообщение Nov 11 2010, 08:59
Сообщение #43


Участник
*

Группа: Участник
Сообщений: 17
Регистрация: 25-01-10
Из: Москва
Пользователь №: 55 055



Цитата(Egel @ Jun 23 2009, 11:20) *
Я перевел часть книги Pong P. Chu - "FPGA Prototyping be Verilog Examples" - очень будет полезна для начинающих. Кто-нибудь с правом доступа может кинуть на FTP? Для тех у кого нет доступа закину на файлообменник


Выложите, пожалуйста, куда-нибудь эту книгу. Спасибо.
Go to the top of the page
 
+Quote Post
Oldring
сообщение Apr 7 2011, 21:05
Сообщение #44


Гуру
******

Группа: Свой
Сообщений: 3 041
Регистрация: 10-01-05
Из: Москва
Пользователь №: 1 874



Цитата(_Serg @ May 31 2010, 10:17) *
IEEE Std 1076™-2008 IEEE Standard VHDL Language Reference Manual
26 January 2009
(Revision of IEEE Std 1076-2002)

Approved 26 September 2008
IEEE SA-Standards Board

_ttp://rghost.net/1758888


Кто-нибудь выкачал?


--------------------
Пишите в личку.
Go to the top of the page
 
+Quote Post
ViKo
сообщение Apr 8 2011, 08:35
Сообщение #45


Универсальный солдатик
******

Группа: Модераторы
Сообщений: 8 634
Регистрация: 1-11-05
Из: Минск
Пользователь №: 10 362



Цитата(Oldring @ Apr 8 2011, 00:05) *
Кто-нибудь выкачал?

Имею. Откуда выкачал, не знаю, давно было.
Кладу в up../DO../ST../IE...
Go to the top of the page
 
+Quote Post

4 страниц V  < 1 2 3 4 >
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 19th April 2024 - 07:25
Рейтинг@Mail.ru


Страница сгенерированна за 0.01507 секунд с 7
ELECTRONIX ©2004-2016