реклама на сайте
подробности

 
 
4 страниц V  < 1 2 3 4 >  
Reply to this topicStart new topic
> Lattice Software, Diamond, Classic, iCEcube2 и пр.
Stewart Little
сообщение Feb 19 2018, 20:34
Сообщение #31


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Цитата(Aner @ Feb 19 2018, 20:07) *
67 I/O тоже много, 4 пары LVDS, 8 -bit того 16 I/O + 10 на jtag, + питание; посмотрел по корпусам QFN32 есть минимальный, ...
Микросхемы в QFN32 может по логической емкости не хватить - там всего ~256 LUT.
В QFN48 - ~640 LUT.

Цитата(Aner @ Feb 19 2018, 20:07) *
Что то не нашел описания I/O LVDS какие стандарты или параметры этих LVDS он может использовать с какими макс частотами.
MachXO2 sysIO User Guide


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
Aner
сообщение Feb 19 2018, 21:26
Сообщение #32


Гуру
******

Группа: Свой
Сообщений: 4 869
Регистрация: 28-02-08
Из: СПБ
Пользователь №: 35 463



Спасибо.
Go to the top of the page
 
+Quote Post
Stewart Little
сообщение Feb 28 2018, 06:12
Сообщение #33


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Выпущена новая САПР: Lattice Radiant - http://www.latticesemi.com/Products/Design...AandLDS/Radiant
Доступна под винды и под линукс.
Пока она поддерживает только семейство iCE40 UltraPlus. В дальнейшем будет добавлена поддержка новых 28-nm семейств Lattice.
Лицензия (по крайней мере пока) предоставляется бесплатно.

Скачиваем, инсталлируем, изучаем!!!


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
Stewart Little
сообщение Feb 28 2018, 13:01
Сообщение #34


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Цитата(Stewart Little @ Feb 28 2018, 09:12) *
Выпущена новая САПР: Lattice Radiant

Первые впечатления - интерфейс практически полностью повторяет даймондовский (что радует), только морда, похоже, переписана на Qt.


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
irinamiros
сообщение Mar 13 2018, 12:10
Сообщение #35





Группа: Участник
Сообщений: 7
Регистрация: 6-01-05
Пользователь №: 1 829



Цитата(Stewart Little @ Feb 28 2018, 17:01) *
Первые впечатления - интерфейс практически полностью повторяет даймондовский (что радует), только морда, похоже, переписана на Qt.


досадно что не дает выкачать ни Lattice Diamond ни Lattice Radiant . политика видимо - в арабские эмираты не поставляют. Жаль , хотелось бы поупражняться.
А то, может где-то на торрентах залито, сориентируйте пожалуйста
Go to the top of the page
 
+Quote Post
VBKesha
сообщение Mar 13 2018, 14:42
Сообщение #36


Участник
*

Группа: Участник
Сообщений: 26
Регистрация: 10-01-07
Из: Брянск
Пользователь №: 24 263



Radiant с сайта magnet:?xt=urn:btih:HGKL3ABBFNR5TOIKS5K2WKW5ZJACZ2IB чисто радиант ничего противозаконного
Go to the top of the page
 
+Quote Post
Stewart Little
сообщение May 8 2018, 12:48
Сообщение #37


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Для Lattice Diamond v3.10 вышел Service Pack 2 (v3.10.2.115).

Я инсталлировал этот сервис пак из-под самого даймонда.


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
alxkon
сообщение May 30 2018, 11:43
Сообщение #38


Частый гость
**

Группа: Участник
Сообщений: 90
Регистрация: 16-11-10
Пользователь №: 60 920



Приветствую Всех!

Поскажите, есть ли какой-то способ в Diamond назначить generic (VHDL) в топовом модуле с помощью командной строки или TCL ?
Go to the top of the page
 
+Quote Post
GriXa
сообщение May 30 2018, 12:57
Сообщение #39


Участник
*

Группа: Участник
Сообщений: 44
Регистрация: 22-05-09
Пользователь №: 49 385



Для таких случаев использую отдельный *_pkg.vhd файл, в котором указываю необходимые константы. Tcl-скрипт парсит этот файл, подставляет нужные значения, и, к примеру, запускает компилляцию. В проекте в качестве generic-значения присваиваю эту константу.
Можно так же и в top-файле это делать, но на мой взгляд настраиваемые переменные удобнее держать в одном файле.
Go to the top of the page
 
+Quote Post
alxkon
сообщение May 31 2018, 04:40
Сообщение #40


Частый гость
**

Группа: Участник
Сообщений: 90
Регистрация: 16-11-10
Пользователь №: 60 920



Цитата(GriXa @ May 30 2018, 15:57) *
Для таких случаев использую отдельный *_pkg.vhd файл, в котором указываю необходимые константы. Tcl-скрипт парсит этот файл, подставляет нужные значения, и, к примеру, запускает компилляцию. В проекте в качестве generic-значения присваиваю эту константу.
Можно так же и в top-файле это делать, но на мой взгляд настраиваемые переменные удобнее держать в одном файле.

Приветствую!

Судя по документации в Квартусе и Вивадо есть варианты для TCL, а Diamond только чрез ГУИ:
https://www.doulos.com/knowhow/fpga/Setting..._for_Synthesis/

Я так понимаю сначала парсит, а потом исправляет определенные константы на нужные для текущего синтеза значения?
Спасибо, это один из методов решения.
Go to the top of the page
 
+Quote Post
Stewart Little
сообщение May 31 2018, 08:26
Сообщение #41


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Цитата(alxkon @ May 31 2018, 07:40) *
Судя по документации в Квартусе и Вивадо есть варианты для TCL, а Diamond только чрез ГУИ:
https://www.doulos.com/knowhow/fpga/Setting..._for_Synthesis/

А Вы какой синтезатор в Даймонде используете - LSE или Synplify Pro?
Для Synplify Pro в приведенной Вами ссылке дается и вариант с tcl:
Цитата
set_option -hdl_param -set nbits 4
set_option -hdl_param -set maxcount 9


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
alxkon
сообщение May 31 2018, 09:50
Сообщение #42


Частый гость
**

Группа: Участник
Сообщений: 90
Регистрация: 16-11-10
Пользователь №: 60 920



Цитата(Stewart Little @ May 31 2018, 11:26) *
А Вы какой синтезатор в Даймонде используете - LSE или Synplify Pro?
Для Synplify Pro в приведенной Вами ссылке дается и вариант с tcl:

Cпасибо, прохлопал sm.gif Некоторые проекты с LSE другие Synplify . Наверное нужно выбрать все же Synplify

Сообщение отредактировал alxkon - May 31 2018, 09:50
Go to the top of the page
 
+Quote Post
GriXa
сообщение Jun 1 2018, 05:23
Сообщение #43


Участник
*

Группа: Участник
Сообщений: 44
Регистрация: 22-05-09
Пользователь №: 49 385



Цитата
Я так понимаю сначала парсит, а потом исправляет определенные константы на нужные для текущего синтеза значения?

Да, именно так. С помощью этого скрипта так же автоматически увеличивается номер версии и дата компилляции. Удобно при чтении регистров узнавать какая именно версия загружена в ПЛИС.
Go to the top of the page
 
+Quote Post
Viktuar
сообщение Jun 3 2018, 01:40
Сообщение #44


Участник
*

Группа: Участник
Сообщений: 21
Регистрация: 18-12-16
Пользователь №: 94 676



Господа, что-то не могу найти описание формата констрейнтов для Radiant, в справке вроде ссылки есть, но они никуда не ведут. Может кто даст наводку?
Go to the top of the page
 
+Quote Post
Stewart Little
сообщение Jun 4 2018, 11:38
Сообщение #45


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Цитата(Viktuar @ Jun 3 2018, 04:40) *
Господа, что-то не могу найти описание формата констрейнтов для Radiant, в справке вроде ссылки есть, но они никуда не ведут. Может кто даст наводку?

В Radiant используется обычный sdc, в весьма сокращенном варианте sm.gif

Смотрите Lattice Radiant Software Guide for Lattice Diamond Users , парграф "Lattice Diamond Timing Preferences Compared with Radiant Software Timing Constraints", стр.18 - 20.


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post

4 страниц V  < 1 2 3 4 >
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th April 2024 - 23:19
Рейтинг@Mail.ru


Страница сгенерированна за 0.01902 секунд с 7
ELECTRONIX ©2004-2016