реклама на сайте
подробности

 
 
14 страниц V   1 2 3 > »   
Reply to this topicStart new topic
> Редакторы HDL, альтернатива
zorromen
сообщение Mar 24 2007, 13:38
Сообщение #1


Местный
***

Группа: Свой
Сообщений: 322
Регистрация: 13-12-05
Пользователь №: 12 147



Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?
Go to the top of the page
 
+Quote Post
Doka
сообщение Mar 24 2007, 16:54
Сообщение #2


Electrical Engineer
******

Группа: СуперМодераторы
Сообщений: 2 163
Регистрация: 4-10-04
Пользователь №: 778



ну а что такое "писать" ?

"просто писать" можно и в текстовом редакторе
"работать с проектом" - в соответствующей САПР (или из командной строки, используя makefile)


PS: кстати, насчет редакторов - для многих из них есть писанные энтузиастами файлы подсветки верилог-синтаксиса (даже для Eclipse, хотя это уже больше IDE) или сами редакторы, заточенные под HDL (HDLTurboWriter)


--------------------
Блог iDoka.ru
CV linkedin.com/in/iDoka
Sources github.com/iDoka


Never stop thinking...........................
Go to the top of the page
 
+Quote Post
Adlex
сообщение Mar 24 2007, 19:28
Сообщение #3


Знающий
****

Группа: Свой
Сообщений: 500
Регистрация: 8-08-05
Пользователь №: 7 451



В квартусе делаетcz File-New-HDL Verilog Fileпосле его написания добавляете в проект (или создаете его) и возможна верификация синтаксиса (из меню Processing - Analyze Current File) или сразу кнопкой панели инструментов. А удобно или нет - это индивидуально.
Это если я правильно понял вопрос
Go to the top of the page
 
+Quote Post
vetal
сообщение Mar 24 2007, 20:55
Сообщение #4


Гуру
******

Группа: Модераторы
Сообщений: 2 095
Регистрация: 27-08-04
Из: Россия, СПб
Пользователь №: 553



Очень интересный и хороший текстовый редактор Programmers Notepad 2
http://www.pnotepad.org
Вне IDE работаю с ним.
Go to the top of the page
 
+Quote Post
makc
сообщение Mar 24 2007, 21:29
Сообщение #5


Гуру
******

Группа: Админы
Сообщений: 3 621
Регистрация: 18-10-04
Из: Москва
Пользователь №: 904



(g)vim - http://www.vim.org/

Мощный и легко расширяемый редактор. Но довольно специфичный. wink.gif


--------------------
BR, Makc
В недуге рождены, вскормлены тленом, подлежим распаду. (с) У.Фолкнер.
Go to the top of the page
 
+Quote Post
std-logic
сообщение Mar 26 2007, 06:35
Сообщение #6


Участник
*

Группа: Свой
Сообщений: 41
Регистрация: 8-02-05
Пользователь №: 2 484



IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...
Go to the top of the page
 
+Quote Post
Uuftc
сообщение Mar 27 2007, 08:51
Сообщение #7


Местный
***

Группа: Свой
Сообщений: 234
Регистрация: 3-10-04
Из: Кукуево-Дальнее
Пользователь №: 767



Цитата(std-logic @ Mar 26 2007, 07:35) *
IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...

Присоединяюсь - очень грамотная штука
Go to the top of the page
 
+Quote Post
iosifk
сообщение Mar 27 2007, 09:11
Сообщение #8


Гуру
******

Группа: Модераторы
Сообщений: 4 011
Регистрация: 8-09-05
Из: спб
Пользователь №: 8 369



Цитата(Doka @ Mar 24 2007, 16:54) *
"просто писать" можно и в текстовом редакторе


Добавляю - я пишу в редакторе EditPlus2 - он очень компактный и может делать подсветку синтаксиса для многих языков, можно даже и для самодельных ассемблеров... Есть вставки темплейтов и автоокончание.
Удачи!


--------------------
www.iosifk.narod.ru
Go to the top of the page
 
+Quote Post
SM
сообщение Mar 27 2007, 10:59
Сообщение #9


Гуру
******

Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881



Цитата(zorromen @ Mar 24 2007, 14:38) *
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Не наблюдаю, чего там не хватает. Пишу все с нуля в квартусе, неудобств редактора не вижу. И не пользуюсь никакими внешними инструментами, кроме симулятора, и то только в "тяжелых случаях". Про встроенный симулятор согласен, штука неудобная для более менее сложных проектов, так как не поддерживает тестбенчи, а только вручную нарисованные сигналы.
Go to the top of the page
 
+Quote Post
Victor®
сообщение Mar 27 2007, 12:01
Сообщение #10


Lazy
******

Группа: Свой
Сообщений: 2 070
Регистрация: 21-06-04
Из: Ukraine
Пользователь №: 76



Цитата(zorromen @ Mar 24 2007, 13:38) *
Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Context
www.context.cx
Есть все что надо, подсветка синтаксиса, автозаполение, хелп можно пристегнуть, например на VHDL...
ну и бесплатный


--------------------
"Everything should be made as simple as possible, but not simpler." - Albert Einstein
Go to the top of the page
 
+Quote Post
zorromen
сообщение Mar 27 2007, 14:52
Сообщение #11


Местный
***

Группа: Свой
Сообщений: 322
Регистрация: 13-12-05
Пользователь №: 12 147



А как сделать силумуляцию в ActiveHDL проекта из кваркуса?
Go to the top of the page
 
+Quote Post
SM
сообщение Mar 27 2007, 15:10
Сообщение #12


Гуру
******

Группа: Свой
Сообщений: 7 946
Регистрация: 25-02-05
Из: Moscow, Russia
Пользователь №: 2 881



Цитата(zorromen @ Mar 27 2007, 15:52) *
А как сделать силумуляцию в ActiveHDL проекта из кваркуса?


В модельсиме (а он поддерживается разработчиками квартуса) вот так. И зачем Вам всякие левые пакеты. http://www.altera.com/support/software/pro...d-modelsim.html
Go to the top of the page
 
+Quote Post
glock17
сообщение Mar 27 2007, 15:54
Сообщение #13


Частый гость
**

Группа: Свой
Сообщений: 163
Регистрация: 3-09-04
Пользователь №: 586



Цитата(zorromen @ Mar 24 2007, 19:38) *
Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Пользуюсь UltraEdit Studio. У него есть очень удобная фича сборки исходников в один проект плюс легкое подключение трансляторов, симуляторов и прочих сопутствующих инструментов.
Go to the top of the page
 
+Quote Post
MobyDick
сообщение Mar 27 2007, 19:56
Сообщение #14


Участник
*

Группа: Свой
Сообщений: 61
Регистрация: 13-09-05
Из: г. Пенза
Пользователь №: 8 530



Для VHDL и Verilog - посмотрите в сторону Notepad++:
Цитата
Notepad++ это бесплатный редактор текстовых файлов...
...
Основные особенности Notepad++ :
Подсветка текста и возможность сворачивания блоков, согласно синтаксису языка программирования
Поддерживаются языки : C, C++, Java, C#, XML, HTML, PHP, Javascript, RC ресурсный файл, makefile, ASCII арт файл (расширение .nfo), doxygen, ini файл, batch файл, ASP, VB/VBS файлы исходных кодов, SQL, Objective-C, CSS, Pascal, Perl, Python, Lua, TCL, Assembler, Ruby, Lisp, Scheme, Properties, Diff, Smalltalk, Postscript, VHDL, Ada, Caml, AutoIt, KiXtart, Matlab and Verilog.
...
Настраиваемый пользователем режим подсветки синтаксиса
Авто-завершение набираемого слова
Выделение скобок при редактировании текста

...
Go to the top of the page
 
+Quote Post
zorromen
сообщение Mar 28 2007, 09:10
Сообщение #15


Местный
***

Группа: Свой
Сообщений: 322
Регистрация: 13-12-05
Пользователь №: 12 147



Чесно меня заинтересовала симуляция в левых пакетах ... особенно в Активе ... чтобы с поддержкой тест бенчей... но вот вопрос ... если в кваркусе при симуляциивидны задержки сгнала на выходе ... что должно быть как в реалии ... то при симуляции во внешних пакетов она будет? или будет рассматриваться идеальный случай когда задержка равно нулю? ... и еще можно подробнее как симулировать в активе кваркусовский проект ... то шото неочень получается...
Go to the top of the page
 
+Quote Post

14 страниц V   1 2 3 > » 
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th April 2024 - 02:54
Рейтинг@Mail.ru


Страница сгенерированна за 0.015 секунд с 7
ELECTRONIX ©2004-2016