реклама на сайте
подробности

 
 
> Ссылки на готовые описания модулей на форуме, все в одном документе/ветке форума
Maverick
сообщение May 12 2015, 10:45
Сообщение #1


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



различные IP core от des00 (SV)
http://electronix.ru/forum/index.php?showtopic=100684

Реализация БПФ на ПЛИС, Тудности, встречаемые при реализации (vhdl)
http://electronix.ru/forum/index.php?s=&am...st&p=531957

модуль spi slave (verilog/vhdl)
http://electronix.ru/forum/index.php?showtopic=127855

функция arctg (VHDL)
http://electronix.ru/forum/index.php?showt...st&p=478938

afifo (verilog)
http://electronix.ru/forum/index.php?s=&am...st&p=841625

пример параметризуемого знакового интегратора с насыщением (VHDL)
http://electronix.ru/forum/index.php?s=&am...st&p=922058

мост для данных (разрядность 1 бит) из одного частотного домена в другой (verilog)
http://electronix.ru/forum/index.php?s=&am...st&p=996795

Параметризуемый фильтр КИХ. Реализация - вторая прямая форма,порядок чётный,ИХ симметричная,латентность - 2 такта не зависимо от порядка. (VHDL)
http://electronix.ru/forum/index.php?s=&am...t&p=1031100

для новичков: JK триггер (VHDL)
http://electronix.ru/forum/index.php?s=&am...t&p=1327650

параметризируемый модуль целочисленного деления (vhdl)
http://electronix.ru/forum/index.php?s=&am...t&p=1179161
и
https://electronix.ru/forum/index.php?showtopic=138910

функция sqrt (vhdl)
http://electronix.ru/forum/index.php?showt...=sqrt&st=30

для новичков: Делитель частоты (vhdl)
http://electronix.ru/forum/index.php?s=&am...t&p=1335306

PS Я только начал собирать... Надеюсь на помощь...
PS PS в дальнейшем хотелось бы к каждому описанию прикрепить текстовое объяснение того, что реализовано
PS PS PS PS давать желательно ссылки на проверенные/рабочие описания модулей на форуме


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- Maverick   Ссылки на готовые описания модулей на форуме   May 12 2015, 10:45
- - Sefo   Классная идея!   May 12 2015, 17:07
- - des00   Немного добавлю, после того будет таки прикручен в...   May 13 2015, 15:38
|- - _Anatoliy   очень быстрый логарифм http://electronix.ru/forum/...   May 14 2015, 16:01
|- - des00   Цитата(_Anatoliy @ May 14 2015, 23:01) оч...   May 15 2015, 10:05
|- - Maverick   сумма 1 в векторе http://electronix.ru/forum/index...   May 15 2015, 10:17
- - des00   Про сумму единиц тут большее количество вариантов ...   May 15 2015, 10:53
- - des00   модуль с произвольным количеством портов на класси...   May 15 2015, 19:56
|- - Maverick   Многовходовой сумматор (verilog) http://electronix...   May 15 2015, 20:09
|- - _Anatoliy   Ну,вообще то, так и думалось - ответят пару челове...   May 26 2015, 13:27
|- - des00   Цитата(_Anatoliy @ May 26 2015, 20:27) Ну...   May 26 2015, 13:30
|- - Maverick   Описание поиска 3 минимальных значений из входных ...   Nov 19 2015, 06:43
|- - Maverick   Упрощенный USB-хост для клавиатуры - чтобы беспров...   Feb 19 2016, 17:41
- - Мур   https://fpgasite.wordpress.com/code-snippets/   Jul 17 2016, 06:34
|- - Maverick   пример параметризуемого знакового интегратора с на...   Aug 10 2016, 06:41
|- - Maverick   Запись в память N бит (больше 16), чтение по 16 би...   Nov 19 2016, 09:35
- - Мур   http://freerangefactory.org/cores.html альтернати...   Jan 26 2017, 05:38
|- - aat_81   Цитата(Мур @ Jan 26 2017, 08:38) http://f...   Jan 26 2017, 15:44
- - Мур   http://kanyevsky.kpi.ua/fpgadesign/dsp_rab1r.html ...   May 13 2017, 17:50
- - Мур   https://allhdl.ru/link.php ЦитатаMC68000 Verilog I...   Jun 11 2017, 16:11
- - Мур   http://kanyevsky.kpi.ua/GEN_MODUL/SQRT/index_sqrt_...   Sep 30 2017, 15:09
- - Мур   http://kanyevsky.kpi.ua/GEN_MODUL/APgen/FiltergenA...   Oct 3 2017, 05:11
|- - Maverick   acceleration/deceleration step motor   Oct 5 2017, 08:14
- - Мур   http://www.sigmatone.com/utilities/crc_gen...c_gen...   Jan 24 2018, 14:59
- - Мур   http://kanyevsky.kpi.ua/en/ip-core-generators/ S...   Jun 28 2018, 17:58


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 28th April 2024 - 19:09
Рейтинг@Mail.ru


Страница сгенерированна за 0.01389 секунд с 7
ELECTRONIX ©2004-2016