Версия для печати темы

Нажмите сюда для просмотра этой темы в обычном формате

Форум разработчиков электроники ELECTRONIX.ru _ Rf & Microwave Design _ Синтезаторы частот. От концепции к продукту.

Автор: Green_Smoke Dec 18 2010, 08:41

Приветствую!
Не подскажите не пробегала ли мимо следующая книга.
Frequency Synthesizers: Concept to Product
Alexander Chenakin, Phase Matrix, Inc.
ISBN 978-1-59693-230-2
Хотелось бы на нее взглянуть поближе.

Автор: Dr.Drew Dec 18 2010, 09:00

Я бы тоже поглядел. Мне сегодня письмецо пришло с рекламкой FSW-0200 и этой книжицы.

Автор: ledum Dec 18 2010, 09:36

Наверное рановато еще. Арабы и китайцы еще не раздуплились - Published Date: 2010-11-30 . Но просмотреть по верхам можно. http://electronix.ru/redirect.php?http://www.isbnlib.com/preview/1596932309/Frequency-Synthesizers-From-Concept-to-Product типо первые и последняя страницы Вроде еще Гугль давал http://electronix.ru/redirect.php?http://books.google.com.ua/books?id=CqvlL3TT1F4C&pg=PA207&lpg=PA207&dq=%22Frequency+Synthesizers:+Concept+to+Product%22+++Chenakin&source=bl&ots=2FWEWORXuL&sig=5zNlUiso4uqa-eNLpVdsIeMYoUA&hl=ru&ei=vasMTe2ULM-M5Aa-s9SGAg&sa=X&oi=book_result&ct=result&resnum=14&ved=0CFwQ6AEwDQ#v=onepage&q&f=false

Автор: Green_Smoke Dec 18 2010, 13:40

Цитата(ledum @ Dec 18 2010, 15:36) *
Наверное рановато еще. Арабы и китайцы еще не раздуплились - Published Date: 2010-11-30 . Но просмотреть по верхам можно. http://electronix.ru/redirect.php?http://www.isbnlib.com/preview/1596932309/Frequency-Synthesizers-From-Concept-to-Product типо первые и последняя страницы Вроде еще Гугль давал http://electronix.ru/redirect.php?http://books.google.com.ua/books?id=CqvlL3TT1F4C&pg=PA207&lpg=PA207&dq=%22Frequency+Synthesizers:+Concept+to+Product%22+++Chenakin&source=bl&ots=2FWEWORXuL&sig=5zNlUiso4uqa-eNLpVdsIeMYoUA&hl=ru&ei=vasMTe2ULM-M5Aa-s9SGAg&sa=X&oi=book_result&ct=result&resnum=14&ved=0CFwQ6AEwDQ#v=onepage&q&f=false

Там я уже видел, но ясности насчет этой книги не появилось.
Автор достаточно известный из Phase Matrix. Но уж больно она маленькая около 250 стр.

Автор: khach Dec 18 2010, 19:13

Так автора спросите, он же тут на форуме иногда проявляется, кажись книгу по усилкам он сам и заливал в закрома. Может и эту зальет.

Автор: YIG Dec 19 2010, 12:01

Цитата(khach @ Dec 19 2010, 01:13) *
Так автора спросите, он же тут на форуме иногда проявляется, кажись книгу по усилкам он сам и заливал в закрома. Может и эту зальет.

"Прилетит к нам волшебник в голубом вертолёте и бесплатно покажет кино!" А что, очень может быть и такое, теоретически возможно.
Для начала я пока решил ознакомиться со статьями Александра Ченакина и вот что нарыл:
 HFE0508_Chenakin.pdf ( 268.69 килобайт ) : 2479

 HFE0608_Chenakin2.pdf ( 188.98 килобайт ) : 1834

 HFE0708_Chenakin_Part3.pdf ( 241.7 килобайт ) : 1716

 HFE0808_Chenakin4.pdf ( 177.22 килобайт ) : 1862

 HFE0908_Chenakin5.pdf ( 109.2 килобайт ) : 1987

Кстати там, в четвёртой части на странице 46, автор объясняет, как снизить спуры DDSа, а то я раньше как-то и не задумывался, зачем DDS в ОС ФАПЧ смешивают с опорой перед делением, теперь буду знать. Получается, что также можно опустить спуры AD9912 на 26дБ ценою сужения диапазона перестройки, смешав его с его же опорой 2 ГГц, отфильтровав и поделив суммарную частоту на 20. Правда фазовые шумы при этом также будут определяться не только шумами опоры и DDSa - 26дБ, но и шумами делителя, как я понимаю. Поправьте меня, если я неправ.
Книга обещает быть весьма интересной и более обстоятельной, чем статьи. Очень хорошо, что автор не злоупотребляет теорией и учит практике, правда не думаю, что после её прочтения я смогу повторить FSW-0200. Всё-таки эта книга для общего развития, а не Практическое пособие по сборке QuickSynа. Есть смысл даже купить такую книженцию (не за свои, разумеется), а то Манасевича уже давно не хватает.
Журнал High Frequency Electronics только сегодня для себя открыл, достойная альтернатива Microwave Journal. Журналы за 2008-2010 годы и статьи за 2002-2010 годы закачал на FTP: upload/Journals/High Frequency Electronics/
Почему-то не нашёл на их сайте журналы за 2002-2007 годы. Кто знаком с журналом, подскажите, пожалуйста, где найти?

Автор: lguancho Dec 25 2010, 12:02

Hi. I have managed to browse through some of the pages of this new book. It is really for the new engineers as the topics are shallowly covered.

I attached some of the pages from the books (by google ebook)

Автор: YIG Dec 25 2010, 12:09

Цитата(lguancho @ Dec 25 2010, 18:02) *
Hi. I have managed to browse through some of the pages of this new book. It is really for the new engineers as the topics are shallowly covered.

I attached some of the pages from the books (by google ebook)


Where an attachments? Why all this replica?

Автор: proxi Dec 25 2010, 12:11

Цитата(lguancho @ Dec 25 2010, 17:02) *
Hi. I have managed to browse through some of the pages of this new book. It is really for the new engineers as the topics are shallowly covered.

I attached some of the pages from the books (by google ebook)

well nice, but where is whole book, do you know

Автор: Chenakin Jan 7 2011, 20:42

Уважаемые Форумчане!

Один мой хороший знакомый буквально неделю назад (поздравлял с Новым Годом) ”привёл” меня на форум (я так понимаю, он тут старожил), на эту тему. Пользуясь моментом (праздники, появилось свободное время), я попутешствовал по лабиринтам тем, нашёл массу интересных для себя вещей (с чем-то поспорил бы, но это, наверное, нормально) и тоже решил поучаствовать. Для начала попробую ответить на несколько комментариев из этой темы:

>>> но ясности насчет этой книги не появилось...

Книга в основном для начинающих, кто приходит после университета с хорошим теоретическим багажом, но не знает с какой стороны подступиться к железу. Предполагается, что человек уже проработал “PLL-классику” (Egan, Crawford, и т.д.), по-этому, теория сведена к миниммуму (практически отсутствует). Кроме того, книга должна читаться легко и быстро, а по-сему, она относительно тонкая. Вот такая задумка была – вводный курс бойца для начинающих. Хотя... может быть и не только для начинающих. Совсем свежий пример. Интервьирую инженера, проработавшего довольно долго в весьма известной компании. Держится уверенно, даже с некоторым превосходством. Рисую картинку – сигнал на 1 ГГц, а рядом слева спур, отстоящий на 10 МГц от основного тона. Спрашиваю, что будет, если подать всё это на делитель на 10. Нет проблем. Человек рисует сигнал на 100 МГц и рядом уменьшенный спур, объясняет, что он подавляется на 20 дБ. Хорошо, а что будет, если мы используем делитель на 1000? Лихо рисует сигнал на 1 МГц, и... наступает пауза. Человек не знает, куда деть спур... Ничего страшного, конечно, но вот, как говорится, век живи – век учись. Так что, это не справочник по синтезаторам, но, если кто найдёт для себя что-то полезное – буду только рад.


>>>Прилетит к нам волшебник в голубом вертолёте и бесплатно покажет кино!

Ситуация такая. У меня контракт с Artech House, по-которому все права принадлежит этому издательству (у меня кстати и окончательного файла нет). Даже если я захочу опубликовать какую-то часть материалов в виде статьи, мне нужно разрешение редакции. Правильно это или нет – это другой вопрос. Но т.к. я принял на себя такие обязательства, то приходится их выполнять. А вот всё, что могу привести - доступно здесь:

http://electronix.ru/redirect.php?http://www.phasematrix.com/pages/Articles.html

Многое уже устарело и я бы переделал. Но... всё это уже от меня не зависит, так что прошу принимать как-есть со всеми плюсами и минусами.


>>>Всё-таки эта книга для общего развития, а не Практическое пособие по сборке QuickSynа…

Совершенно верно. К QuickSynу книга непосредственного отношения не имеет, хотя некоторые архитектурные решения используются. Если будет интерес к QuickSynу, то можно отделно поговорить на эту тему, буду рад общению. Постараюсь заходить почаще на форум (хотя вряд ли удастся стать полноценным участником из-за катастрофической нехватки времени – я вижу, люди здесь общаются практически ежедневно, у меня такое не получится). Если же будет нужно связаться со мной, мой рабочий e-mail achenakin@phasematrix.com

Всем успехов в наступившем новом году!

Александр Ченакин

Автор: YIG Jan 10 2011, 02:08

Цитата(Chenakin @ Jan 8 2011, 02:42) *
Совершенно верно. К QuickSynу книга непосредственного отношения не имеет, хотя некоторые архитектурные решения используются. Если будет интерес к QuickSynу, то можно отделно поговорить на эту тему, буду рад общению. Постараюсь заходить почаще на форум (хотя вряд ли удастся стать полноценным участником из-за катастрофической нехватки времени – я вижу, люди здесь общаются практически ежедневно, у меня такое не получится). Если же будет нужно связаться со мной, мой рабочий e-mail achenakin@phasematrix.com

Большое спасибо, Александр, за отклик и комментарии!
Прочитал все Ваши статьи по синтезаторам на одном дыхании, нашёл много ценных мыслей (в этой теме пока ещё совсем зелёный),
сегодня начну дорабатывать несколько своих схем и закажу книгу. У меня уже целая библиотека книг и книжулек по синтезу, но именно таких, практически полезных, книг по синтезу очень мало, в основном статьи...
QuickSyn можно обсудить и здесь, не создавая новой темы, но мы пока только раскачиваемся после зимних каникул.
Пока есть только один вопрос:
Правда ли, что именно этот прибор лежит в основе самых крутых анализаторов спектра FSU Rohde&Schwarz? laughing.gif
Я так наивно полагаю, что там всё-таки должны стоять ЖИГ-генераторы и ЖИГ-фильтры! rolleyes.gif

Автор: Sergey Beltchicov Jan 10 2011, 06:51

Цитата(YIG @ Jan 10 2011, 08:08) *
Пока есть только один вопрос:
Правда ли, что именно этот прибор лежит в основе самых крутых анализаторов спектра FSU Rohde&Schwarz? laughing.gif
Я так наивно полагаю, что там всё-таки должны стоять ЖИГ-генераторы и ЖИГ-фильтры! rolleyes.gif

Хотя вопрос адресован не мне, позволю себе заметить, что в FSU с серийниками до 2ххххх совершенно точно стоял MLFP-42026 и спецномерной микролямбдовский YTO. В 2008 году, в беседе на выставке Связь-Экспоком, родики утверждали, что ЖИГи стали делать сами. Внутренности более поздних приборов изучать пока не доводилось, но судя по шумам на отстройке 1 МГц, Роде все-таки использует ЖИГи, а уж ЖИГ-фильтры то наверняка.

Автор: Dr.Drew Jan 10 2011, 07:20

У Квика шумы на дальних отстройках высокие - ГУН всё-таки. От ЖИГ-ов вряд ли отойдут в приборах.

Автор: YuriyMatveev Jan 10 2011, 07:40

Цитата(Dr.Drew @ Jan 10 2011, 13:20) *
От ЖИГ-ов вряд ли отойдут в приборах.


U3772 до 43GHz (Advantest) свой анализатор сделали вообще без преселектора (жиг-фильтра) по входу. Только "software preselector". Понятно есть свои проблемы, но зато какая цена ... !

Автор: Sergey Beltchicov Jan 10 2011, 15:34

Цитата(YuriyMatveev @ Jan 10 2011, 13:40) *
U3772 до 43GHz (Advantest) свой анализатор сделали вообще без преселектора (жиг-фильтра) по входу. Только "software preselector". Понятно есть свои проблемы, но зато какая цена ... !


Согласен, что U3772 - прекрасное решение для поля и для базовых задач анализа сигналов. Хотя софтверный преселектор подразумевает, что гетеродину (-ам) прибора нужно сделать хотя бы по паре свипов (а не один) в каждом поддиапазоне для идентификации ложных откликов, а это должно отрицательно сказываться на скорости. В описании на U3772 есть упоминание о том, что для улучшения скорости подавление зеркал можно отключить.

Более элегантное решение - это использование высоких ПЧ, ключей и фильтр-банков. Минус данного решения - работа с высокими частотами LO в первых диапазонах и, соответственно, ухудшенная односигнальная динамика. Очевидный плюс - отсутствие заморочек, связанных с ЖИГ-фильтрами (рипла, гистерезиса, термоуходов) и, как следствие, более высокие спецификации остаточной неопределенности измерения уровня.

Автор: Chenakin Jan 11 2011, 22:05

>>>Пока есть только один вопрос: Правда ли, что именно этот прибор лежит в основе самых крутых анализаторов спектра FSU Rohde&Schwarz?

Нет. С R&S переговоры начались совсем недавно.

>>>Я так наивно полагаю, что там всё-таки должны стоять ЖИГ-генераторы и ЖИГ-фильтры!

Да, насколько я в курсе.

>>>У Квика шумы на дальних отстройках высокие - ГУН всё-таки.

QS (QuickSyn) действительно уступает ЖИГам (если это имеется ввиду) на 1 МГц, но не из-за ГУНа, а из-за опоры. Прошу учесть, что никогда не ставилась задача побить ЖИГ (зачем?), цель была разработать коммерческий продукт с определёнными технико-ценовыми характеристиками для определённого сегмента рынка. По-этому в QS используется очень дешёвый OCXO, который выдаёт noise floor примерно -163 дБн/Гц на 100 МГц (начиная с ~10 кГц). Одна из “фишек” QS (осваиваю новую терминологию:) – это преобразование опоры в выходной сигнал с минимальным уровнем добавочных шумов (т.е., практически 20logN). Соответсвенно на 5 ГГц (это у меня измерения под рукой) мы должны ожидать 34 дБ ухудшение или -129 дБн/Гц, что мы примерно и получаем в действительности. Хорошо это или плохо в сравнении с ЖИГом? На 10 кГц ЖИГ остаётся далеко позади, на 100 кГц расходимся более-менее мирно, а на 1 МГц действительно уступаем. Дальше ЖИГ и ГУН сходятся к примерно одинаковому noise floor. Всё по теории.

Если нужны лучше характеристики на 1 МГц, то надо менять опору. Например, не проблема найти OCXO c -176 dBc/Hz noise floor, что соответствует уже -142 dBc/Hz на 5 ГГц (а Pascal предлагает ещё лучшее шумы). Но такие OCXO уже существенно дороже. Да и работать вблизи тепловых шумов резистора – занятие малоприятное. По-этому, куда проще использовать комбинированую опору на более высокой частоте, скажем, тот же дешёвый OCXO (который обеспечивает 10 и 100 кГц) + CRO. Например CRO5100Z от Z-Comm стоит порядка $30 и должен выдавать что-то уже ближе к -150 dBc/Hz на отстройке 1 МГц на 5 ГГц (говорим лишь о порядке величин). Конечно, работа с высокочастотной опорой требует изменения архетектуры, но я не вижу каких-либо непреодолимых препятсвий и на 1 МГц, если такая задача будет поставлена.

>>>От ЖИГ-ов вряд ли отойдут в приборах.

Ну, братцы, обложили с ЖИГами со всех сторон:) Прошу не считать меня ЖИГо-ненавистником! C ЖИГами дело приходилось иметь прямо что ни на есть непосредственное. Отличный прибор. Можно сказать – мечта синтезаторщика! Не особо напрягаясь, простейший однопетлевой дизайн выдаёт вполне приличные характеристики. Двухпетлевой уже можно вставлять и в bench-top генератор или спектроанализатор. Но... как и везде у ЖИГа масса своих недостатков, а именно:

Габатиты - (bench-top is OK, а вот многие другие применения сразу отпадают. Даже для T&M... попробуйте, например, втиснуть ЖИГ в быстроразвивающуюся PXI платформу!)

Энергопотребление – (те же комментарии)

Цена – (если бюджет позволяет использовать ЖИГ, то, действительно, зачем городить огород, но вот всегда ли он позволяет или будет позволять?)

Скорость перестройки – (а вот тут начинаются проблемы... Милисекунда – это вот такой, скажем, технологический барьер для имеющихся в продаже ЖИГов. Можно, конечно, пробовать уменьшать зазор между полюсами электромагнита, но тогда теряется однородность магнитного поля, разные части сферы резонируют на разных частотах, Q падает со всеми вытекающими последствиями... Так что, остановимся на милисекундах, что уже сейчас совершенно недостаточно и ситуация будет только лишь усугубляться. По-этому, я бы не стал делать однозначных выводов. Всё, что лишь хочу подчеркнуть - вполне возможно получить ЖИГ-сапоставимые шумы и без использования ЖИГов и, при этом, на гораздо более высоких скоростях перестройки.

В принципе, всё это касается и ЖИГ-фильтра, используемого, например, в преселекторе спектроанализатора. Пока проблема не стоит столь остро, но очертания явно вырисовываются. Опять же, я не вижу каких-либо непреодолимых препятствий конструирования широкополосного приёмника с подавлением зеркального канала (а это всё, что требуется от ЖИГ-фильтра; ограничение сигнала, селекция других сигналов большой амплитуды – это уже всё дополнительные “benefits”). Конечно, итоговая блок-диаграмма не будет выглядеть столь просто и красиво, но вот общая цена всех экстра комплектующих получается сопаставимой с самим ЖИГом! Возможно, овчинка стоит выделки?

>>>U3772 до 43GHz (Advantest) свой анализатор сделали вообще без преселектора (жиг-фильтра) по входу. Только "software preselector". Понятно есть свои проблемы, но зато какая цена ... !

Прямо в корень! Хотя я и не являюсь поклонником этого метода. Я пробовал играться с математикой и, вот, что получалось. Имеется спур, необходимо убедиться, с какой стороны гетеродина он приходит. Отстраиваем гетеродин немного, и в зависимости от того, куда переместился спур, делаем вывод. Однако, если имеются два спура (или больше), да ещё с разных сторон и разных по величине, то однозначный вывод сделать не получается, только вероятностный. Т.е. нужно опять отстроить гетеродин на другую величину и сделать ещё один свип. Но опять можно придумать комбинацию спуров, которая “перехитрит” нас. Т.е. нужно делать большое кол-во свипов, чтобы уменьшить вероятность ложной картинки до “приемлего” предела. Вот что выходило, и как-то не хотелось закладывать “неполноценную” модель. Интересно, никто не встречался с “математикой” (статьи и т.д.) по-этому вопросу?

Автор: Dr.Drew Jan 12 2011, 00:20

>>>QS (QuickSyn) действительно уступает ЖИГам (если это имеется ввиду) на 1 МГц, но не из-за ГУНа, а из-за опоры. Прошу учесть, что никогда не ставилась задача побить ЖИГ (зачем?), цель была разработать коммерческий продукт с определёнными технико-ценовыми характеристиками для определённого сегмента рынка. По-этому в QS используется очень дешёвый OCXO, который выдаёт noise floor примерно -163 дБн/Гц на 100 МГц (начиная с ~10 кГц). Одна из “фишек” QS (осваиваю новую терминологиюsm.gif – это преобразование опоры в выходной сигнал с минимальным уровнем добавочных шумов (т.е., практически 20logN). Соответсвенно на 5 ГГц (это у меня измерения под рукой) мы должны ожидать 34 дБ ухудшение или -129 дБн/Гц, что мы примерно и получаем в действительности. Хорошо это или плохо в сравнении с ЖИГом? На 10 кГц ЖИГ остаётся далеко позади, на 100 кГц расходимся более-менее мирно, а на 1 МГц действительно уступаем. Дальше ЖИГ и ГУН сходятся к примерно одинаковому noise floor. Всё по теории.

Преобразование на гармониках? Я это подозревал.
Не согласен по поводу шумов. Или мы говорим о разном. Если строить синтезатор по одной и той же схеме, но с разными управляемыми генераторами: ГУН и ЖИГ, то второй по шумам победит. Вы ведь используете свои ГУН 5-10 ГГц? В случае ЖИГ, на 1МГц будут уже его шумы - минус 153-150 дБн/Гц. Полоса петли будет около 100 кГц. ГУН будет иметь всего минус 130 дБн/Гц и полоса петли будет около 1 МГц. И вот тут как раз важны шумы на дальних отстройках. Представтье себе измерения IP3 на частоте 10-20 ГГц. Интермодуляции могут утонуть в шумах генераторов - приходилось наблюдать такое.

И ещё, хотелось бы увидеть спрект сигнала вместе с побочными спектральными составляющими. Голая цифра минус 70 как-то не устраивает. Интересно, где они находятся.

>>>Если нужны лучше характеристики на 1 МГц, то надо менять опору. Например, не проблема найти OCXO c -176 dBc/Hz noise floor, что соответствует уже -142 dBc/Hz на 5 ГГц (а Pascal предлагает ещё лучшее шумы). Но такие OCXO уже существенно дороже.

А комбинацию 10 МГц OCXO и привязанного к нему кварцевого ГУН 100 МГц не рассматривали? ГУН можно сделать и самому с теми же минус 175-174 дБн/Гц остаточного шума. Будет дёшево.
Интересно, как Паскаль сделал свои генераторы? Темекс тоже нечто подобное делает. И вообще, как с ними работать, в смысле, не ухудшить остаточный шум? Сразу умножать?

Вопрос выбора генератора действительно сложный, не первый раз убеждаюсь. И определяющий фактор тут не выделишь - это примерно как выработать стратегию правильной жизни...Выбор генератора в QS здесь вряд ли стоит обсуждать. Гораздо более интересны технические решения. Я так понял, в синтезаторе применяется преобразование частоты в обратной связи. Смотрел ваш недавний патент с описанием схемы синтезатора. Если честно, не понял как это увязать с практикой. Многократные деления и умножения частоты с переменными коэффициентами для преобразования частоты ГУН в обратной связи...Чем ограничивается число преобразований, коэфициенты деления и умножения, как их выбирать и, в конце концов, как получается мелкий шаг?

Автор: Chenakin Jan 12 2011, 01:59

>>>Не согласен по поводу шумов. Или мы говорим о разном. Если строить синтезатор по одной и той же схеме, но с разными управляемыми генераторами: ГУН и ЖИГ, то второй по шумам победит. Вы ведь используете свои ГУН 5-10 ГГц? В случае ЖИГ, на 1МГц будут уже его шумы - минус 153-150 дБн/Гц. Полоса петли будет около 100 кГц. ГУН будет иметь всего минус 130 дБн/Гц и полоса петли будет около 1 МГц.

Ну зачем же 1 МГц? Всё зависит, что выдаст опора + 20logN + остаточные шумы синтезатора (т.е. схема построения). Если хотим приблизится к –150 dBc/Hz (к примеру), то полоса петли будет куда выше, ближе к 10 МГц. А ещё дальше и ЖИГ и ГУН начинают сходится к одинаковым величинам, определяемых их активным элементом, т.е. GFkT/2P.

--------------------

>>>Если строить синтезатор по одной и той же схеме, но с разными управляемыми генераторами: ГУН и ЖИГ, то второй по шумам победит.

В принципе никто и не спорит. Зачем обязательно кого-то побеждать? Cмысл в другом: возможно получение ЖИГ-сопоставимых шумов без использования ЖИГов при несопоставимо более высоких скоростях перестройки (если это нужно).

--------------------

>>>И ещё, хотелось бы увидеть спрект сигнала вместе с побочными спектральными составляющими. Голая цифра минус 70 как-то не устраивает. Интересно, где они находятся.

“Голая цифра” фигурирует в спецификациях практически любого поставщика. Вполне нормальный подход. А Вы думаете их легко найти? Reference spurs не просматриваются, спуры DDS задавлены ниже –90 дБн, как минимум. Чтобы “вытаскивать” спуры на таком уровне, нужно на несколько дней запускать ATE в режиме random search. Вылезают различные “вторичные эффекты,” leakages на отдельных “неудобных частотах” и т.д. А потом приходится долго гадать (т.е. анализировать), принадлежит ли этот спуры QS или спектроанализатору, там ведь тоже не боги горшки обжигали. Что ж ещё приводить кроме голой цифры? Выше –70 точно ничего нет, а так всё выглядит довольно чисто до –80 dBc.

Автор: Dr.Drew Jan 12 2011, 04:04

>>>Ну зачем же 1 МГц? Всё зависит, что выдаст опора + 20logN + остаточные шумы синтезатора (т.е. схема построения). Если хотим приблизится к –150 dBc/Hz (к примеру), то полоса петли будет куда выше, ближе к 10 МГц. А ещё дальше и ЖИГ и ГУН начинают сходится к одинаковым величинам, определяемых их активным элементом, т.е. GFkT/2P.

С этим не спорю. 1 МГц - для примера. Хотя вполне реальная цифра.

>>>В принципе никто и не спорит. Зачем обязательно кого-то побеждать? Cмысл в другом: возможно получение ЖИГ-сопоставимых шумов без использования ЖИГов при несопоставимо более высоких скоростях перестройки (если это нужно).

По-моему утверждение про ЖИГ-сопоставимые шумы без использования ЖИГ теряет смысл, когда речь идёт об отстройках, где шумы ещё не определются управляемым генератором. Вы про этот случай говорите? Какой бы он ни был, уровень шума будет определяться опорой и фазовым детектором (или схемой, архитектурой...) Разумеется, для связных и локационных применений с интересами в диапазоне отстроек до 0,1-1 МГц, тип генератора роли не играет. Учитывая простоту и дешевизну применения ГУН, он находится в существенном выигрыше.

Автор: Sergey Beltchicov Jan 12 2011, 15:39

Вопрос к уважаемому Александру Ченакину:

Вы действительно уверены (имеете результаты измерений), что если взять опору -176 (-180) дБн/Гц, то можно получить -142 (146) дБн/Гц в петле на 5ГГц мультипликативным способом (то есть приращение шумов близкое к 20logN)? Мне лично не приходилось слышать о результате лучше -135 дБн/Гц на 10 ГГц (и то в виде единичного достижения, и, что важно, без петли). Повторюсь, я говорю об умножении, а не о генерации сразу в X-band (OEwaves,PSI).

Просто большинство комб-генераторов имеют собственный шум хуже, чем -140 дБн/Гц. Есть еще NLTL-генераторы, но реально на отстройках до 100кГц они не отличаются от SRD (даже хуже SRD во фликер-зоне). Когда я направил отчет с результатами измерений трех NLTL-умножителей в Picosecond в сравнении с SRD (все от одного источника), то их буквальный ответ на претензию о несоответствии фазовых шумов рекламе звучал примерно так: "к сожалению, у нас не было возможности провести прямое измерение фазового шума гармоники от 100 МГц, полученной при помощи NLTL, благодарим Вас за новую информацию о наших генераторах, мы все равно снимаем их с производства". (В своих статьях Picosecond измеряет СПМ шумов своего генератора, деля 100 МГц на два канала и снося две гармоники от одного источника в ноль, что подразумевает вычищение шумов гармоник друг другом).

Лично мое мнение: если получаешь -130 дБн/Гц@10 кГц на 10 ГГц, то в рамках мультипликативной парадигмы в захвате ниже не спуститься, какую опору (100МГц) ни бери. То есть купишь PASCAL, поставишь в блок, а в петле получишь то же, что и раньше.

И, кстати, еще Александру Ченакину.

По поводу "математики" по идентификации спуров при открытом фронт-энде спектроанализатора: посмотрите у Аджилента Spectrum Analysis Basics. Если мне не изменяет память, там достаточно подробно описан метод с качанием гетеродина вверх-вниз на 2ПЧ и метод, где когерентно меняются частоты сразу двух LO (они называют его shift method)

Автор: AlDed Jan 12 2011, 16:36

Цитата(Chenakin @ Jan 12 2011, 07:59) *
>>>

Александр,
пользуясь случаем еще раз поздравляю тебя с наступившим Новым годом и напоминаю о твоем обещании подготовить для нас (Прист) перевод на генератор sm.gif

Автор: soldat_shveyk Jan 13 2011, 05:29

Александр, спасибо за замечательную книгу!
Сегодня утром получил - читаю с удовольствием.
Думаю, что теперь в моих новых изделиях синтезаторы "запоют" с новым и лучшим качеством.

Автор: Dr.Drew Jan 13 2011, 14:45

Цитата(Sergey Beltchicov @ Jan 12 2011, 21:39) *
Вы действительно уверены (имеете результаты измерений), что если взять опору -176 (-180) дБн/Гц, то можно получить -142 (146) дБн/Гц в петле на 5ГГц мультипликативным способом (то есть приращение шумов близкое к 20logN)?Лично мое мнение: если получаешь -130 дБн/Гц@10 кГц на 10 ГГц, то в рамках мультипликативной парадигмы в захвате ниже не спуститься, какую опору (100МГц) ни бери. То есть купишь PASCAL, поставишь в блок, а в петле получишь то же, что и раньше.


Удавалось получать минус 153 на 1 ГГц при опоре с шумом минус 175. Ухудшения фликкера не наблюдалось. Был бы под рукой усилитель с большей разницей P1-G, удалось бы продавить шум и до минус 175. А уж до 5-10 ГГц можно и вторым умножением дотянуться.
По моему мнению, разумный предел по фазовому шуму кварцевых опор лежит около минус 174. Дальше начинаются трудности с усилением для раскачки умножителей - фазовые шумы генератора начинают тонуть в тепловых шумах усилителя.

Александр, если не секрет, DDS используете в виде готовой микросхемы или рассыпной - ЦАП - отдельно, ядро с памятью - отдельно?

Автор: Chenakin Jan 14 2011, 02:40

Цитата(Sergey Beltchicov @ Jan 12 2011, 10:39) *
Вопрос к уважаемому Александру Ченакину:

Вы действительно уверены (имеете результаты измерений), что если взять опору -176 (-180) дБн/Гц, то можно получить -142 (146) дБн/Гц в петле на 5ГГц мультипликативным способом (то есть приращение шумов близкое к 20logN)? Мне лично не приходилось слышать о результате лучше -135 дБн/Гц на 10 ГГц (и то в виде единичного достижения, и, что важно, без петли). Повторюсь, я говорю об умножении, а не о генерации сразу в X-band (OEwaves,PSI).

Просто большинство комб-генераторов имеют собственный шум хуже, чем -140 дБн/Гц. Есть еще NLTL-генераторы, но реально на отстройках до 100кГц они не отличаются от SRD (даже хуже SRD во фликер-зоне). Когда я направил отчет с результатами измерений трех NLTL-умножителей в Picosecond в сравнении с SRD (все от одного источника), то их буквальный ответ на претензию о несоответствии фазовых шумов рекламе звучал примерно так: "к сожалению, у нас не было возможности провести прямое измерение фазового шума гармоники от 100 МГц, полученной при помощи NLTL, благодарим Вас за новую информацию о наших генераторах, мы все равно снимаем их с производства". (В своих статьях Picosecond измеряет СПМ шумов своего генератора, деля 100 МГц на два канала и снося две гармоники от одного источника в ноль, что подразумевает вычищение шумов гармоник друг другом).

Лично мое мнение: если получаешь -130 дБн/Гц@10 кГц на 10 ГГц, то в рамках мультипликативной парадигмы в захвате ниже не спуститься, какую опору (100МГц) ни бери. То есть купишь PASCAL, поставишь в блок, а в петле получишь то же, что и раньше.

И, кстати, еще Александру Ченакину.

По поводу "математики" по идентификации спуров при открытом фронт-энде спектроанализатора: посмотрите у Аджилента Spectrum Analysis Basics. Если мне не изменяет память, там достаточно подробно описан метод с качанием гетеродина вверх-вниз на 2ПЧ и метод, где когерентно меняются частоты сразу двух LO (они называют его shift method)


Уважаемый, Сергей!

Спасибо за ссылки. Постараюсь подробно ответь на Ваши вопросы/комментарии.

--------------
>>> Вы действительно уверены (имеете результаты измерений), что если взять опору -176 (-180) дБн/Гц, то можно получить -142 (146) дБн/Гц в петле на 5ГГц мультипликативным способом (то есть приращение шумов близкое к 20logN)?

Ответ:
Нет, не уверен и, более того, не советовал бы это делать, т.к. это далеко не самый оптимальный вариант. Позвольте напомнить, что весь сыр-бор разгорелся на тему, так уж ли необходим ЖИГ для получения хороших шумов. Вроде как договорились, что на 10 и 100 кГц и с ГУНом всё вырисовывается нормально (конечно в петле, Dr. Drew), здесь претензий к QS вроде нет? На очень высоких отстройках (десятки МГц) и ЖИГ и ГУН будут иметь сопоставимые шумы (free running), определяемые активным элементом (а не резонатором) и мощностью, закачиваемой в резонатор. Претензии, вроде бы, возникли только к отстройкам 1+ МГц. Повторюсь, что задачи “побития” ЖИГа никогда не ставилась, но если нужно улучшить шумы (я это назвал получение ЖИГ-сопоставимых шумов) в указанной области, то я не вижу непреодолимых препятствий это сделать.

Использование –176/Pascal я указал в качестве примера, а вот практическое использование считаю нецелесообразным (я это там же ранее и говорил) просто по той причине, что есть гораздо более простые способы достижения указанной величины -142 дБн/Гц на отстройке 1 МГц, а именно – использование комбинированной опоры.

--------------
>>> Повторюсь, я говорю об умножении, а не о генерации сразу в X-band (OEwaves,PSI).

Ответ:
А почему, собственно, и не использовать генерацию сразу в X-band? Oewaves и PSI пока отложим в сторону для задач более серьёзных. А чем плох тот же CRO, который я привёл в качестве примера? Как по мне, так очень даже и ничего. Или возьмём обычный DRO. Есть проблема получить -142 дБн/Гц на 5 ГГц на отстройке 1 МГц (free running)? Нет. При желании, такой шум можно вытащить даже на отстройке 100 кГц и на гораздо более высоких частотах, причём с самым что ни на есть обычным резонатором (ссылки могу прислать) без сапфира. Но это и не нужно. Теперь берём этот обычный DRO и замыкаем на OCXO (тот самый дешёвый, не Pascal). В результате имеем комбинированную опору, которая выдаёт на 5 ГГц следующие шумы (порядок величин):

10 кГц -129 дБн/Гц (OCXO)
100 кГц -129 дБн/Гц (OCXO)
1 МГц -142 дБн/Гц (DRO)

Пока проблем нет?

Хорошо, двигаемся дальше (в петлюsm.gif. Что имеем? Приличную опору (вполне сопоставимую с ЖИГом, но если нужно лучше – то можно сделать и лучше). Теперь из одной частоты нужно сформировать диапазон частот, т.е. сделать синтезатор. Т.к. вопрос стоит скорее философский (можно иль не можно?), то не будем залазить в дебри широкополосных схем, а рассмотрим для примера узкополосный синтезатор, работающий на 5 ГГц. Берём простейшую “классическую” offset loop схему и подаём нашу 5 ГГц опору на LO порт миксера. Сигнал ГУНа поступает на миксер, преобразуется вниз (скажем, на частоту в несколько десятков МГц) и замыкается петлёй ФАПЧ без делителя. Т.е. всё что нам нужно сделать – это вытянуть -142 дБн/Гц фактически на частотах в несколько десятков МГц. Задача не такая уж и простая (шумы всё равно приличные), но, я думаю, Вы не станете утверждать, что задача абсолютно неподъёмная?

Ну вот собственно и всё... Я думаю, здесь можно в этой долгой дискуссии поставить точку. А вопрос “ЖИГ или не ЖИГ” и конкретную схему построения синтезатора каждый уже решает сам в зависимости от собственного вкуса, опыта и конкретной технической задачи.

--------------
>>> Просто большинство комб-генераторов имеют собственный шум хуже, чем -140 дБн/Гц. Есть еще NLTL-генераторы, но реально на отстройках до 100кГц они не отличаются от SRD...

Ответ:
Абсолютно, полностью и бесповоротно с Вами согласен. По моему разумению, при больших потерях преобразования (что имеет место и в SRD и в NLTL) при попытке закачать –180 дБн/Гц просто упираешься в тепловые шумы. Тут что-то требовать от Picosecond смысла никакого нет. Ещё хуже дело обстоит с harmonic mixers. Я имею ввиду такие микросхемы со встроенным SRD и mixing diodes. Выглядит просто и привлекательно, но шумов набирает... как блох. (Извините, это я где-то тут же на форуме фразу вычитал – на прямо зацепила!). Всё правильно. Сигнал преобразуется вниз на нужной гармонике, а вот широкополосные шумы на входе преобразуется на ВСЕХ гармониках, да ещё и с двух сторон (т.к. зеркальные каналы, естественно, не подавляются). Так что если рабочая гармоника высокая, то гармоник и шумов набирается... (ещё раз повторять будет уже неприличноsm.gif. Всё верно. А посему я для себя установил правило (ни в коем случае никому не навязываю) - если работаешь с серьёзными шумами (близкими к тепловым), то:
1. Не используй умножители с коэффициентом умножения больше чем 2.
2. Не используй гармонический смеситель (только фундаментальный, и крайне желательно, с подавлением зерк. канала).

--------------
>>> Лично мое мнение: если получаешь -130 дБн/Гц@10 кГц на 10 ГГц, то в рамках мультипликативной парадигмы в захвате ниже не спуститься, какую опору (100МГц) ни бери. То есть купишь PASCAL, поставишь в блок, а в петле получишь то же, что и раньше.

Ответ:
Я не совсем понимаю Ваш термин “мультипликативная парадигма.” Если Вы имеете ввиду использование умножителя или смесителя гармоник высокого порядка, то, да, я согласен, данное техническое решение с Pascal никак не сочетается по вышеуказанным причинам.

Автор: YIG Jan 14 2011, 06:50

Большое спасибо, Александр, за компетентное разъяснение ситуации! a14.gif

Цитата(Chenakin @ Jan 14 2011, 08:40) *
А почему, собственно, и не использовать генерацию сразу в X-band?

Как я понял, единственный путь обставить ЖИГ по крутизне - использовать более добротные генераторы в комбинации с перестраиваемым "крутым" синтезатором на основе CRO и/или DDS. Но как такая комбинация могла бы закрыть весь спектр, скажем, до 20 ГГц? Даже если взять лейкосапфир на 10 ГГц и зафапчевать от SAW 1ГГц, зафапчёванного от OCXO 100МГц, а затем поделить его до 1,25 ГГц и скомбинировать с умноженным ПАВом, мы всё равно не наберём нужного количества опор, чтобы закрыть весь спектр. Ведь нужен будет достаточно широкополосный перестраиваемый синтезатор, как минимум с полосой 1 ГГц.
Значит всё-таки СВЧ опор должно быть несколько? Неслабая задача: грамотно рассчитать частотный план таких опор и их комбинашек, заФАПЧевать их, а затем отфильтровать и смешать с крутым перестраиваемым синтезатором, а затем опять отфильтровать. Реально ли это вообще???
Цитата
1. Не используй умножители с коэффициентом умножения больше чем 2.

То есть вы хотите сказать, что x2x2 лучше, чем x4? Или x3 - тоже плохо? Или это с точки зрения удобства фильтрации после умножения? Понятно, что это Ваш личный подход, но интересно знать, почему Вы так считаете, ведь если посмотреть на шумовые характеристики на 100кГц http://electronix.ru/redirect.php?http://www.hittite.com/products/index.html/category/262, то эта мысль не совсем подтверждается.
P.S.: Сорри за bb-offtopic.gif, но походу пьесы мы присутствовали при рождении нового ненормативного выражения в русском лексиконе - мультипликативная парадигма!
Если мне как-нибудь нахамит какая-нибудь особь женского пола, так её и назову!!! lol.gif
Правда, пока не понял, как этот термин применить к синтезу сигнала, даже Гуголь не дал ответа... laughing.gif

Автор: Sergey Beltchicov Jan 14 2011, 07:01

Александр, спасибо за Ваши ответы и комментарии.

Несколько дополнительных замечаний:

1) Вообще-то говоря о шуме в -142дБн/Гц в петле на 5 ГГц я, в первую очередь, имел в виду отстройку 10кГц rolleyes.gif (а не 1 МГц). Про 1 МГц говорил Dr.Drew
2) Не согласен, что к Picosecond в описанной мной ситуации не должно было быть претензий, судите сами:
есть опора с шумом -176 дБн/Гц @10кГц на 100 МГц, ее подаем на умножитель NLTL-типа, берем гармонику 5 ГГц, которая имеет амплитуду -20 дБм. Сколько остается до теплового пола? По моему, -157 дБ. Сколько мы должны получить теоретически (20logN)? -142дБ/Гц на отстройке 10 кГц. То есть запас до теплового пола (связанный с коэффициентом передачи умножителя) есть, и существенный. Picosecond буквально говорит, что не имеет собственной полки в -140 дБ/Гц, которую обычно имеют SRD-генераторы, а имеет полку гораздо ниже (в районе -150 дБ/Гц). Кроме того, они в рекламе буквально утверждали, что их NLTL добавляет к шуму 100МГц с шумом -180дБ/Гц в диапазоне гармоник 4-8ГГц не более 2 дБ относительно 20lgN. На практике все гораздо печальнее (см. картинку, темный график SRD, светлый NLTL).
3) Просто для уточнения своих словечек: лично я использую термин мультипликативная парадигма, когда для захвата перестраиваемого генератора (ГУН, ЖИГ) берется гармониковая опора (в том числе комбинированная), которая на отстройках до 100кГц отталкивается от шумов умноженного кварца.

В остальном согласен, что в дискуссии можно ставить точку.


Цитата
Как я понял, единственный путь обставить ЖИГ по крутизне - использовать более добротные генераторы в комбинации с перестраиваемым "крутым" синтезатором на основе CRO и/или DDS. Но как такая комбинация могла бы закрыть весь спектр, скажем, до 20 ГГц. Даже если взять лейкосапфир на 10 ГГц и зафапчевать от SAW 1ГГц, зафапчёванного от OCXO 100МГц, а затем поделить его до 1,25 ГГц и скомбинировать с умноженным ПАВом, мы всё равно не наберём нужного количества опор, чтобы закрыть весь спектр. Ведь нужен будет достаточно широкополосный перестраиваемый синтезатор, как минимум с полосой 1 ГГц.
Значит всё-таки СВЧ опор должно быть несколько? Неслабая задача: грамотно рассчитать частотный план таких опор и их комбинашек, заФАПЧевать их, а затем отфильтровать и смешать с крутым перестраиваемым синтезатором. Реально ли это вообще???


Реально. Реально сделать синтезатор с шумом ниже -145дБн/Гц@10кГц в диапазоне 4-10 ГГц. Именно этим мы сейчас и занимаемся. Но не совсем так, как Вы описали. Дополнительно замечу, что сапфир не стоит фапчевать от SAW 1ГГц (только шумы гробить) а только от 100 МГц с петлей не более 200-300Гц. Плюс он должен быть круто термостабилизирован.

 

Автор: Sergey Beltchicov Jan 14 2011, 14:39

Цитата
P.S.: Сорри за bb-offtopic.gif, но походу пьесы мы присутствовали при рождении нового ненормативного выражения в русском лексиконе - мультипликативная парадигма!
Если мне как-нибудь нахамит какая нибудь особь женского пола, так её и назову!!! lol.gif
Правда, пока не понял, как этот термин применить к синтезу сигнала, даже Гуголь не дал ответа... laughing.gif


Если честно, не пойму, что вызывает у Вас такую радость (хотя всегда не против посмешить коллектив). Слово "парадигма" обычно используется для обозначения системы идей, понятий, некой общей исходной концептуальной схемы. Так вот: подавляющее большинство крутых коммерческих и некоммерческих перестраиваемых синтезаторов используют в архитектуре своего ядра одну и ту же концептуальную схему в разных вариациях. Октавный (иногда двухоктавный) перестраиваемый генератор (ЖИГ или ГУН) по офсетной схеме сносится при помощи близкой по частоте гармоники (набора гармоник) на низкую ПЧ, через которую захватывается. Так вот откуда они берутся эти гармоники? Берутся они чаще всего от умножения опоры (простой или комбинированной). В известных синтезаторах прямого синтеза гармоники, образующие базовую сетку, также получаются умножением. Синоним словосочетания "метод умножения" - "мультипликативный метод". Отсюда - "мультпликативная парадигма". А ведь гармоники можно взять и путем деления какой-то опоры (например, 10 ГГц), такой метод - уже другая концептуальная схема, другая "парадигма" biggrin.gif

Автор: Dr.Drew Jan 14 2011, 14:43

Цитата(Sergey Beltchicov @ Jan 14 2011, 13:01) *
от 100 МГц с петлей не более 200-300Гц.

Такое возможно? Насколько мне известно, управлять частотой такого генератора можно только температурой, не угробляя добротность. Соответственно, полоса петли не может превышать долей герц.

Цитата(Sergey Beltchicov)
Отсюда - "мультпликативная парадигма"

Сами вводите термин и не объясняете его значение... Люди могут подумать всё, что угодно. Меня это словосочетание повергло в лёгкий шок...

Автор: Sergey Beltchicov Jan 14 2011, 14:51

Цитата(Dr.Drew @ Jan 14 2011, 20:43) *
Такое возможно? Насколько мне известно, управлять частотой такого генератора можно только температурой, не угробляя добротность. Соответственно, полоса петли не может превышать долей герц.

А как по-Вашему реализована опция частотной стабилизации от 10 МГц в SBO у PSI?

Автор: Dr.Drew Jan 14 2011, 15:10

SBO-XPL:

Цитата
- The oscillator is phase lockable to an external 10MHz low-noise reference
- Less than 20 minutes to frequency lock

SKO:
Цитата
- The oscillator is phase lockable to an external 10MHz low-noise quartz reference
- Less than 7 minutes to frequency lock at operating temperature extremes. Less than 2 minutes at 25°C.

При 100 Гц петле таких времён не бывает. Да ещё на температуру завязано у SKO.

Автор: Sergey Beltchicov Jan 14 2011, 15:27

Цитата(Dr.Drew @ Jan 14 2011, 21:10) *
SBO-XPL:

SKO:

При 100 Гц петле таких времён не бывает. Да ещё на температуру завязано у SKO.


Время нужно, чтобы генератор вошел в режим, когда его в принципе можно захватывать. А дальше его докручивает хитрое устройство VCP (varactor phase shifter). Но у него диапазон перестройки в районе 50 кГц, а сапфир болтается 500кГц на градус. Соответственно, температуру SBO нужно контролировать с точностью меньше 0.1 градуса, чтобы генератор болтался в пределах полосы VCP. Я так понимаю.

Автор: Dr.Drew Jan 14 2011, 15:44

Фазовращатель нужен для обеспечения баланса фаз при максимальном запасе по усилению в петле, как правило, 3 дБ. Ну и ещё на него подаётся сигнал комбинированной ОС, если резонатор работает ещё как дискриминатор. Вертеть частоту генерации балансирующим ФВ опасно - шумы плавать начнут или генерация сорвётся. 20 минут следует понимать именно как время захвата частоты, когда генератор уже вышел на режим и включается ФАПЧ с управлением нагревателем. Никто же не пишет время синхронизации анализатора с внешним ОГ - 30 минут, подразумевая его прогрев 29,99 минут и сам захват 0,01 минуты.

Автор: Sergey Beltchicov Jan 14 2011, 16:21

Цитата(Dr.Drew @ Jan 14 2011, 21:44) *
Фазовращатель нужен для обеспечения баланса фаз при максимальном запасе по усилению в петле, как правило, 3 дБ. Ну и ещё на него подаётся сигнал комбинированной ОС, если резонатор работает ещё как дискриминатор. Вертеть частоту генерации балансирующим ФВ опасно - шумы плавать начнут или генерация сорвётся. 20 минут следует понимать именно как время захвата частоты, когда генератор уже вышел на режим и включается ФАПЧ с управлением нагревателем. Никто же не пишет время синхронизации анализатора с внешним ОГ - 30 минут, подразумевая его прогрев 29,99 минут и сам захват 0,01 минуты.


Для обеспечения баланса фаз используется механический установочный фазофращатель (тромбонного типа в прототипе, кусок кабеля в готовом изделии). Электронный VCP нужен для других целей. Поскольку VCP докручиват частоту гетеродина, к нему предъявляются крайне жесткие требования по фазовым шумам (такие же, как и к активному элементу). Ну и понятное дело диапазон перестройки у электронного фазовращателя значительно уже диапазона перестройки механического фазофращателя. Но не мое дело Вас разубеждать.

Вот небольшая выдержка PSI, касающаяся температуры и захвата.

A useful criterion for considering the resonator temperature locked is when the frequency of the resonator stabilises to the point at which a PLL circuit can
lock the SBO oscillator to a reference. With minor changes to the VCP design, a SBO with electronic tuning range of ±20 kHz is possible. For this
reason, we have selected ±20 kHz as the target frequency window, and the time at which the resonator frequency
reaches 20 kHz offset from the target frequency is the measure used in this paper to quantify improvements to the
resonator's “Time to Temperature Lock”.

Автор: Chenakin Jan 14 2011, 16:55

Цитата(AlDed @ Jan 12 2011, 11:36) *
Александр,
пользуясь случаем еще раз поздравляю тебя с наступившим Новым годом и напоминаю о твоем обещании подготовить для нас (Прист) перевод на генератор sm.gif


Ага, кто такой AlDed теперь я знаю. Присутсвие Микрана обозначилось ещё раньше. Хорошая компания подбираетсяsm.gif. Это здорово!

Александр, спасибо. Всё понял, постараюсь исправитьсяsm.gif


Цитата(soldat_shveyk @ Jan 13 2011, 00:29) *
Александр, спасибо за замечательную книгу!
Сегодня утром получил - читаю с удовольствием.
Думаю, что теперь в моих новых изделиях синтезаторы "запоют" с новым и лучшим качеством.


Спасибо! Также недавно получил первые отзывы (весьма лестные) о работе QuickSynа в России. Не скрою, всё это слышать очень приятно, добавляет энергии и оптимизма. Всем спасибо!

Цитата(Dr.Drew @ Jan 13 2011, 09:45) *
Удавалось получать минус 153 на 1 ГГц при опоре с шумом минус 175. Ухудшения фликкера не наблюдалось. Был бы под рукой усилитель с большей разницей P1-G, удалось бы продавить шум и до минус 175. А уж до 5-10 ГГц можно и вторым умножением дотянуться.
По моему мнению, разумный предел по фазовому шуму кварцевых опор лежит около минус 174. Дальше начинаются трудности с усилением для раскачки умножителей - фазовые шумы генератора начинают тонуть в тепловых шумах усилителя.

Александр, если не секрет, DDS используете в виде готовой микросхемы или рассыпной - ЦАП - отдельно, ядро с памятью - отдельно?


Согласен. Я ещё более консервативен. Мой предел –170, дальше начинаю искать альтернативные решения.

DDS используется в виде законченной (не рассыпной) микросхемы. Глубже в детали (комплектующие, схема построения синтезатора) по понятным причинам я вдаваться не могу. Надеюсь, Вы понимаете, я, всё-таки, не свободный художник. Поэтому, заранее извиняюсь, если не смогу ответить на некоторые конкретные вопросы.


Цитата(YIG @ Jan 14 2011, 01:50) *
Значит всё-таки СВЧ опор должно быть несколько?


Совсем не обязательно. Моё предпочтение - одна опора, а функция синтезатора – это уже распределение этой опоры в нужном диапазоне с нужным шагом (задача действительно может оказаться “неслабой”).

Цитата(YIG @ Jan 14 2011, 01:50) *
То есть вы хотите сказать, что x2x2 лучше, чем x4? Или x3 - тоже плохо?


>>>То есть вы хотите сказать, что x2x2 лучше, чем x4?
Да, конечно.

>>> Или x3 - тоже плохо
По обстоятельствам... Всё зависит от уровня шумов, с которым имеешь дело. Если отстоишь далеко от тепловых, то и с большими N проблем не возникает.


Цитата(Sergey Beltchicov @ Jan 14 2011, 02:01) *
1) Вообще-то говоря о шуме в -142дБн/Гц в петле на 5 ГГц я, в первую очередь, имел в виду отстройку 10кГц rolleyes.gif (а не 1 МГц). Про 1 МГц говорил Dr.Drew
2) Не согласен, что к Picosecond в описанной мной ситуации не должно было быть претензий,


1. Понятно. Тогда это уже будут другие решения.
2. Ну, сигнал ещё нужно и усилить (NF, фликкер-шумы усилителя). Я к тому, что я пробовал бы искать другое решение, но это уже дело вкуса...

Цитата(Sergey Beltchicov @ Jan 14 2011, 09:39) *
Синоним словосочетания "метод умножения" - "мультипликативный метод". Отсюда - "мультпликативная парадигма". А ведь гармоники можно взять и путем деления какой-то опоры (например, 10 ГГц), такой метод - уже другая концептуальная схема, другая "парадигма" biggrin.gif


Наконец понял, что такое мультипликативная парадигма! Т.е. есть ли опора ниже частоты выходного сигнала, то парадигма мультипликативная, а если выше, то – делительная. А вообще, она, наверное, всё-таки, смешаннаяsm.gif.

Автор: Sergey Beltchicov Jan 14 2011, 16:59

Цитата
Наконец понял, что такое мультипликативная парадигма! Т.е. есть ли опора ниже частоты выходного сигнала, то парадигма мультипликативная, а если выше, то – делительная. А вообще, она, наверное, всё-таки, смешаннаяsm.gif.


Это просто слэнг внутри моей команды. Давайте не будем больше мусолить термины. Я постараюсь не употреблять собственные термины в дальнейшем.

Автор: Dr.Drew Jan 14 2011, 16:59

Sergey Belthicov, принцип понятен. Я представлял это немного по-другому. Очень похоже на ЖИГ-генератор - медленная катушка на широкий диапазон и быстрая - на малый. Да, 100 Гц получить реально. Скорее всего, 20 кГц ограничивается у них ещё и полосой пропускания резонатора - около 100 кГц на частоте 10 ГГц. При таком диапазоне перестройки нуля ФЧХ запас по усилению меняется несильно и шумы остаются прежними.

Цитата
Хорошая компания подбирается

Дорогой самодержец, мы пропали (из фильма)... sm.gif
Насчёт умножения я бы поспорил. Цифру минус 153 на 1 ГГц я получал умножением "в лоб" на 10, впрочем, как и некоторые другие частоты. Причём, двукратное умножение (на 2 и 5 например) потребовало бы в два раза больше усилителей при тех же потерях преобразования.

Автор: Chenakin Jan 14 2011, 18:18

Цитата(Sergey Beltchicov @ Jan 14 2011, 11:59) *
Это просто слэнг внутри моей команды. Давайте не будем больше мусолить термины. Я постараюсь не употреблять собственные термины в дальнейшем.


Извините, я без всякой задней мысли. Кстати, перечитывая комментарии YIG, я понял, что термин “комбинированная опора” который я использовал, то же не идеален. Поэтому, чисто на всякий случай, вот, что я имел ввиду (опять извините, я здесь же, не переключая цитат – это у меня ещё идёт туго).

Составляем требуемый phase noise profile на какой-либо одной частоте путём комбинирования (например, ФАПЧ-еваня) различных высокодобротных генераторов (OCXO, SAW, CRO, DRO, etc) и считаем, что это и есть наша опора. Частота при этом (теоретически) роли не играет (т.е. может быть и 10 и 100 МГц, и 10 ГГц). А практически (в плане, как потом не потерять эти шумы при построении синтезатора), чем жёстче требования по шумам – тем (как правило) выше частота такой опоры. Т.е. мы выходим на то, что говорил Сергей (как я понимаю) – частота опоры может оказаться выше частоты “сердцевины” (простите, я уж не знаю, как и назвать, может быть main PLL?) синтезатора. Очень хороший способ, по крайней мере, мы его стараемся использовать.

Автор: AlDed Jan 14 2011, 19:05

Цитата(Chenakin @ Jan 14 2011, 22:55) *
Ага, кто такой AlDed теперь я знаю. Присутсвие Микрана обозначилось ещё раньше. Хорошая компания подбираетсяsm.gif. Это здорово!

Александр, спасибо. Всё понял, постараюсь исправитьсяsm.gif

тогда пользуясь случаем представлю и других! Сергей Бельчиков (Sergey Belthicov) компания Эльвира http://electronix.ru/redirect.php?http://www.elvira.ru/company.html разработчик и производитель широкого диапазона СИ в РФ, в том числе анализаторов спектра ВЧ диапазона.

Автор: Chenakin Jan 16 2011, 23:49

Цитата(AlDed @ Jan 14 2011, 11:05) *
тогда пользуясь случаем представлю и других! Сергей Бельчиков (Sergey Belthicov) компания Эльвира http://electronix.ru/redirect.php?http://www.elvira.ru/company.html разработчик и производитель широкого диапазона СИ в РФ, в том числе анализаторов спектра ВЧ диапазона.


Об Эльвире наслышан. Сергей, не укажите свои координаты мне на achenakin@phasematrix.com? Возможно, в будущем могут возникнуть варианты взаимного сотрудничества. Кстати – из чистого любопытства – почему именно 4-10 ГГц? Это определяется наличием ЖИГа или из архитектурных соображений?

Цитата(YIG @ Jan 13 2011, 22:50) *
Неслабая задача: грамотно рассчитать частотный план таких опор и их комбинашек, заФАПЧевать их, а затем отфильтровать и смешать с крутым перестраиваемым синтезатором, а затем опять отфильтровать. Реально ли это вообще???


Вполне реально! Более того, можно даже получить выигрыш по размерам/цене. Живой (т.е. действующий) пример – тот же QuickSyn. Вполне приличные параметры (повторяться не будем, но для удобства - см. ниже) умещаются в размеры 5”x7”x1” , что примерно соответствует 12,7 см x 17,8 см x 2,5 см.

 DS_FSW_0010_0020.pdf ( 1007.6 килобайт ) : 1054


остальные детали - здесь: http://electronix.ru/redirect.php?http://www.phasematrix.com/pages/Synthesizers.html

Замечу, что помимо генерации собственно самого сигнала в широком диапазоне (~20 ГГц) в эти размеры удаётся запихнуть и множество других полезных и бесполезных функций (калибровка и регулировка мощности выходного сигнала, модуляция (AM, FM, Phase, Pulse), freq. & power sweep, list mode, blanking, reference adjustment, temp. monitor, lock recovery и т. д.). Т.е., по сути, такая “коробочка” получается сопоставимой по тех. параметрам и функциональности (а по некоторым показателям и существенно превосходит) с куда более габаритными (bench-top) приборами известных “грандов мирового футбола” sm.gif.

Автор: Dr.Drew Jan 17 2011, 16:32

Цитата(Chenakin @ Jan 17 2011, 02:49) *
Замечу, что помимо генерации собственно самого сигнала в широком диапазоне (~20 ГГц) в эти размеры удаётся запихнуть и множество других полезных и бесполезных функций (калибровка и регулировка мощности выходного сигнала, модуляция (AM, FM, Phase, Pulse), freq. & power sweep, list mode, blanking, reference adjustment, temp. monitor, lock recovery и т. д.). Т.е., по сути, такая “коробочка” получается сопоставимой по тех. параметрам и функциональности (а по некоторым показателям и существенно превосходит) с куда более габаритными (bench-top) приборами известных “грандов мирового футбола” sm.gif.

Вот это и удивляет. Мои попытки дотянуться до таких шумов, только в другом диапазоне, почти увенчались успехом, и даже удалось выжать в два раза меньшее время перестройки, а в перспективе ещё уменьшить в два раза. Но вот затолкать туда и опции с интерфейсами, ну никак не получится..."по чертежам - детская коляска, а получается телега"...Судя по всему, схема должна быть ну очень простая, чтобы занимать ну очень мало места.

Автор: YIG Jan 18 2011, 05:59

Цитата(Chenakin @ Jan 17 2011, 02:49) *
Вполне реально! Более того, можно даже получить выигрыш по размерам/цене. Живой (т.е. действующий) пример – тот же QuickSyn.

Нет, я пытался спросить совсем о другом. О QuickSyn я уже давно наслышан - классный синтезатор, спора нет!
Но в нём Вы перереносите спектр опоры вверх до 10 или 20 ГГц, а я имел ввиду перенос опоры 10ГГц, типа http://electronix.ru/redirect.php?http://psi.com.au/products/index/signal-generators-and-oscillators, вниз, до 1ГГц.
Цитата(Dr.Drew @ Jan 17 2011, 19:32) *
...Судя по всему, схема должна быть ну очень простая, чтобы занимать ну очень мало места.

Но там места ИМХО достаточно для многоконтурной схемы, особенно, если не брезговать разваркой чипов...

Автор: Sergey Beltchicov Jan 19 2011, 12:55

Кстати, решил добавить для наглядности картинку фазовых шумов ЖИГ-синтезатора, построенного по схеме, концептуально близкой к QuickSyn. Данный синтезатор лежит в основе нашего СК4-БЕЛАН 240/400. Есть ли разница в ФШ? Есть, но не большая.

Цитата
Нет, я пытался спросить совсем о другом. О QuickSyn я уже давно наслышан - классный синтезатор, спора нет!
Но в нём Вы перереносите спектр опоры вверх до 10 или 20 ГГц, а я имел ввиду перенос опоры 10ГГц, типа PSI, вниз, до 1ГГц.



А построить синтезатор на основе деления 10 ГГц можно, я уже говорил, что именно этим мы сейчас и занимаемся. В ядре (4-10 ГГц) ФШ@10кГц должен составить -145...148 дБн/Гц, может ниже. Когда будут результаты, покажу.

 

Автор: YIG Jan 19 2011, 13:44

Цитата(Sergey Beltchicov @ Jan 19 2011, 15:55) *
А построить синтезатор на основе деления 10 ГГц можно, я уже говорил, что именно этим мы сейчас и занимаемся.

Я тоже сегодня прикинул частотный план и понял, что вполне реально обойтись и одной опорой 10 ГГц, сахваченной петлёй OCXO. Причём в октаве 5-10 ГГц наберётся целый лес крутых палок, фазовый шум которых будет главным образом ограничен делителями, умножителями, смесителями и усилителями, т. е. довольно низкий.
Цитата
В ядре (4-10 ГГц) ФШ@10кГц должен составить -145...148 дБн/Гц, может ниже. Когда будут результаты, покажу.

Почему 4-10 ГГц сразу понял, основная рабочая октава, которая от 10 до 20 ГГц, от 20 до 40 ГГц переносится умножением, а ниже 4 ГГц - делением, причём 2-4 ГГц, 1-2 ГГц и т.д.
Очень будет интересно посмотреть, если получится, первыми же купим такой Белан! Желаю УДАЧИ!!! a14.gif

Автор: Sergey Beltchicov Jan 19 2011, 14:54

Цитата(YIG @ Jan 19 2011, 16:44) *
Почему 4-10 ГГц сразу понял, основная рабочая октава, которая от 10 до 20 ГГц, от 20 до 40 ГГц переносится умножением, а ниже 4 ГГц - делением, причём 2-4 ГГц, 1-2 ГГц и т.д.
Очень будет интересно посмотреть, если получится, первыми же купим такой Белан! Желаю УДАЧИ!!! a14.gif


В качестве небольшой ремарки: предполагаемое устройство должно быть именно синтезатором, а не гетеродином анализатора спектра с соответствующими шумами (просто БЕЛАН - это марка анализатора). В анализаторе же спектра куча других проблем (максимальное соотношение сигнал-шум в СВЧ тракте с учетом усиления/аттенюации, динамика АЦП и цифровых фильтров), которые в конечном счете ограничивают односигнальную динамику - отображаемый прибором фазовый шум (я имею в виду режим именно анализатора, а не шумомера). Как только один из параметров анализатора становится достаточно "серьезным", к нему сразу приходится "подтягивать" и остальные, что может быть задачей еще круче. К примеру, получить в general-purpose анализаторе шумовую дорожку для определенного ref level (и, соответственно, определенного значения входного аттенюатора) ниже, чем -145дБм/Гц невозможно. Следовательно, если гетеродин имеет, скажем, те же -145 дБн/Гц, то анализатор в лучшем случае (например, при опорном уровне 0 дБм и выключенном аттенюаторе, что, кстати, многие (FSU, PSA) сделать не дают) отобразит -142 дБн/Гц. А дальше заткнутся цифровые фильтры и т.д. С этой точки зрения синтезатор принципиально проще.

Автор: rloc Jan 20 2011, 10:59

Цитата(Sergey Beltchicov @ Jan 14 2011, 10:01) *
есть опора с шумом -176 дБн/Гц @10кГц на 100 МГц, ее подаем на умножитель NLTL-типа, берем гармонику 5 ГГц, которая имеет амплитуду -20 дБм.

Вы приводили сравнительные графики ФШ, уточните пожалуйста какой тип NLTL и SRD брали для сравнения, чем фильтровали и как согласовывали?
Я так понимаю опора с шумом -176 дБн/Гц своя?

Цитата(Sergey Beltchicov @ Jan 19 2011, 17:54) *
К примеру, получить в general-purpose анализаторе шумовую дорожку для определенного ref level (и, соответственно, определенного значения входного аттенюатора) ниже, чем -145дБм/Гц невозможно. Следовательно, если гетеродин имеет, скажем, те же -145 дБн/Гц, то анализатор в лучшем случае (например, при опорном уровне 0 дБм и выключенном аттенюаторе, что, кстати, многие (FSU, PSA) сделать не дают) отобразит -142 дБн/Гц.

У PXA на частоте 1ГГц при Ref = -4 дБм (аттенюатор действительно ниже 6 дБ не опускается и не отключается) шумовой пол составляет -152 дБм/Гц, при включенном режиме NFE -157 дБм/Гц (усилитель выключен), при Ref = 0 дБм -148дБм/Гц и -154 дБм/Гц соответственно (аттенюатор = 10 дБ)

Автор: Dr.Drew Jan 20 2011, 16:26

Цитата(Sergey Beltchicov @ Jan 19 2011, 15:55) *
А построить синтезатор на основе деления 10 ГГц можно, я уже говорил, что именно этим мы сейчас и занимаемся. В ядре (4-10 ГГц) ФШ@10кГц должен составить -145...148 дБн/Гц, может ниже. Когда будут результаты, покажу.


Что-то заоблачная цифра. Минус 177 приведённый к 100 МГц. Уровень Паскаля, но нужно ещё и сохранить. ФАПЧ на гармониках с ДР или КР генераторами потребует полос под 50-100 кГц - не айс, ещё и растерять можно будет. Неужто лейкосапфир решили применить? Но там ещё обвес нужен для КСС. Что-то сомневаюсь я в реализуемости таких шумов в такой полосе.

Автор: Sergey Beltchicov Jan 20 2011, 16:57

Цитата(rloc @ Jan 20 2011, 13:59) *
Вы приводили сравнительные графики ФШ, уточните пожалуйста какой тип NLTL и SRD брали для сравнения, чем фильтровали и как согласовывали?
Я так понимаю опора с шумом -176 дБн/Гц своя?


На вопрос по поводу фильтрации и согласования я отвечать не буду, прошу прощения. Ставьте эксперименты. Опора своя.


Цитата
У PXA на частоте 1ГГц при Ref = -4 дБм (аттенюатор действительно ниже 6 дБ не опускается и не отключается) шумовой пол составляет -152 дБм/Гц, при включенном режиме NFE -157 дБм/Гц (усилитель выключен), при Ref = 0 дБм -148дБм/Гц и -154 дБм/Гц соответственно (аттенюатор = 10 дБ)


Тут вопрос в моей некорректной терминологии, прошу меня великодушно извинить. Я Вас сбил с толку, некорректно написав "шумовая дорожка" и дБм/Гц. На самом деле я имел в виду сквозной односигнальный динамический диапазон, приведенный к 1Гц, максимальное сквозное соотношение сигнал-шум при минимальной RBW (не абсолютное, а относительное значение). Из того, что вы написали про PXA, следует вычесть аттенюатор. Если Вы будете наблюдать на Вашем PXA, предположим, сигнал с уровнем 0 дБм и ФШ -145 дБн/Гц (допустим, что цифровые фильтры у PXA идеальные: 1Гц имеет динамику за 150 дБ, что не факт), то реальный шум при Ref Level 0дБм, аттенюаторе 10 дБ и RBW 1 Гц в лучшем случае будет лежать на уровне -138 дБ от верха экрана (а не 148 дБ). Ведь маркер, когда пишет дБм/Гц, учитывает и аттенюатор. Проведите простой эксперимент: при Ref Level 0дБм (аттенюатор 10 дБ или минимальный, который можно поставить) поставьте масштаб 15 или 16 дБ на деление, посмотрите на сколько дБ ниже относительно верха экрана лежит шум. Предполагаю, что шум будет на уровне -138 дБ при RBW 1 Гц, -128 дБ при RBW 10 Гц, -118 дБ при RBW 100 Гц. Именно этот шум будет определять измерения ФШ (даже если реальный шум гетеродинов анализатора -145 дБн/Гц и ниже). Далее поставьте опорный уровень -10 дБм, аттенюатор выключите. Предположу что шум (DANL, абсолютное значение) лежит на уровне -155-156 дБм/Гц. Если динамика фильтров идеальная, то можно намерить -145дБ...-146дБ от верха экрана (-10 дБм) в 1Гц. В этом режиме уже лучше оценивать ФШ "идеального" синтезатора. Но если тепловой шум прибора, фазовый шум прибора и фазовый шум DUT будут близки по значению, измеренный фазовый шум поднимется децибел на 5 (10lg3 = 4.77дБ).

Про NFE я не говорю, ибо это софтверная приблуда, я же изначально имел в виду железо.

Автор: rloc Jan 20 2011, 19:55

Цитата(Sergey Beltchicov @ Jan 20 2011, 19:57) *
Если Вы будете наблюдать на Вашем PXA, предположим, сигнал с уровнем 0 дБм и ФШ -145 дБн/Гц (допустим, что цифровые фильтры у PXA идеальные: 1Гц имеет динамику за 150 дБ, что не факт), то реальный шум при Ref Level 0дБм, аттенюаторе 10 дБ и RBW 1 Гц в лучшем случае будет лежать на уровне -138 дБ от верха экрана (а не 148 дБ). Ведь маркер, когда пишет дБм/Гц, учитывает и аттенюатор.


Тоже приношу извинения, по-умолчанию стояло усреднение LogPwr, надо было Pwr(RMS), поэтому результаты получились несколько лучше. Поставил 20 дБм/дел, центральная частота 1 ГГц:
1) Ref = 0 дБм (min att = 10 дБ) шум = -146.5 дБм при полосе 1 Гц и ровно на 10 дБ увеличивается с 10-кратным увеличением полосы
2) Ref = -4 дБм (min att = 6 дБ) шум = -150.5 дБм при полосе 1 Гц и также меняется с увеличением полосы
3) Ref = -10 дБм (min att = 6 дБ и меньше сделать нельзя) шум такой же как в пунке 2

По вопросу уменьшения аттенюатора до уровню 0 дБ, буду разбираться.

Автор: Chenakin Jan 20 2011, 22:58

Цитата(YIG @ Jan 17 2011, 21:59) *
Нет, я пытался спросить совсем о другом. О QuickSyn я уже давно наслышан - классный синтезатор, спора нет!
Но в нём Вы перереносите спектр опоры вверх до 10 или 20 ГГц, а я имел ввиду перенос опоры 10ГГц, типа http://electronix.ru/redirect.php?http://psi.com.au/products/index/signal-generators-and-oscillators, вниз, до 1ГГц.


В принципе, разницы особой нет. В QuickSyn используется “смешанная” схема, т.е. перенос и вверх и вниз. На 10 ГГц работать даже проще, т.к. от шумов уходишь довольно далеко и на многие “мелочи ” уже можно не обращать внимания. На 1 ГГц нужно быть намного аккуратнее. Другое дело, если в Вашей фразе “а я имел ввиду перенос опоры 10ГГц, типа PSI” упор делается именно на PSI, т.е. требования по шумам становятся гораздо жёстче. Тут уж придётся помучиться, т.к. даже обычные цифровые делители уже такие шумы не поддерживают, придётся делать аналоговые. Но, всё равно, реально!

Цитата(Sergey Beltchicov @ Jan 19 2011, 04:55) *
Кстати, решил добавить для наглядности картинку фазовых шумов ЖИГ-синтезатора, построенного по схеме, концептуально близкой к QuickSyn. Данный синтезатор лежит в основе нашего СК4-БЕЛАН 240/400.


Интересно (просто интересно, никакой критики), а почему у Вас PLL bandwidth выбран близким к 1 МГц? Если Вы используете ЖИГ, то, всё равно, скорость перестройки будет ограничена, а шумы на 1 МГц теряются, т.е. это, как раз, тот случай, который отстаивал Dr. Drew.


Цитата(YIG @ Jan 19 2011, 05:44) *
Я тоже сегодня прикинул частотный план и понял, что вполне реально обойтись и одной опорой 10 ГГц, сахваченной петлёй OCXO.


Ну, вот, видите, как быстро у нас всех всё сходится! YIG, если Вы теперь не “раскачегарите” тему PSI то, я чувствую, у нас вообще настанет полный штиль в новых идеяхsm.gif. Ну разве что, Dr. Drew подключитсяsm.gif

Цитата(Sergey Beltchicov @ Jan 19 2011, 06:54) *
С этой точки зрения синтезатор принципиально проще.


За исключением одного нюанса. Спектроанализатор можно построить и без использования высокочастотного перестраиваемого синтезатора, используя лишь несколько высокочастотных фиксированных опор, которые можно получить вообще без PLL – просто умножением (или делением). А дальше уже работаешь на низких частотах (ПЧ). Вдаваться в подробности не будем, это уже другая тема, хотя и весьма интересная. А вот синтезатор по своей функции должен перекрывать какой-то диапазон и, обычно, с малым шагом. Кроме того, идиллию по фазовым шумам портит скорость перестройки, которую теперь тоже надо вытаскивать. В общем, букет параметров - работы на всех хватит!

Автор: nickes Jan 20 2011, 23:00

Доброго дня!

Вероятно вопрос больше к Сергею Бельчикову(я пару дней назад задавал вопрос на сайте Эльвиры по FSU). Несколько непонятно, почему такие печальные данные по шумам умножителей на основе SRD. У Вас есть объяснение такому поведению умножителей?
На прикрепленом файле шумы полноценного возбудителя с ЛЧМ, АМ и т.д.(ибо ДДС и соответствующая скорость перестройки в узком диапазоне, в широком начинает подтормаживать PLL, но до единиц/десятков микросекунд довести возможно, проверено). Да, так вот, это макет в котором используется рубидиевая опора 10МГц, затем кварцевый фильтр, а потом я немного промахнулся с уровнями и пришлось добавить нечто типа MSA0505 или MSA1104(сейчас точно не помню) с шумами около 5/6дБ и малым усилением, затем умножитель на 10 и умножитель на 5 и затем на 14. Плюс смесители, дополнительный синтез и т.д. Тем не менее если отталкиваться от Вашего ответа на сайте Эльвиры и совершенно неподходящего выходного уровня возбудителя(-16дБн) я имею -114...-116дБн/Гц(после пересчета с учетом шума FSU) на 10КГц отстройке и -130 на 300КГц отстройке.

 

Автор: Chenakin Jan 20 2011, 23:09

Цитата(Dr.Drew @ Jan 20 2011, 08:26) *
Что-то заоблачная цифра. Минус 177 приведённый к 100 МГц. Уровень Паскаля, но нужно ещё и сохранить.


Ну, вот, ждать долго не пришлосьsm.gif. Пропробовать можно, хотя задачка – не подарок. При этом если использовать ФАПЧ (а не прямой синтез), то “в ядре” уходить, скорее всего, следует ещё выше вверх, а не вниз. Имеется ввиду не сам ГУН, а умножитель в петле ФАПЧ. Если использовать умножитель в петле, а не делитель, то шумы детектора и др. элементов (кроме опоры, конечно) будут улучшатся, а не деградировать по тому же 20logN закону. “Мелочь”, но приятноsm.gif. Способ, я считаю, исключительно простой (я его в той самой книжке использую вообще без всяких особых комментариев) и мне лично очень нравится, хотя всегда, почему-то, вызывает массу скептических замечаний.

Автор: Sergey Beltchicov Jan 21 2011, 09:08

Цитата(nickes @ Jan 21 2011, 02:00) *
Доброго дня!

Вероятно вопрос больше к Сергею Бельчикову(я пару дней назад задавал вопрос на сайте Эльвиры по FSU). Несколько непонятно, почему такие печальные данные по шумам умножителей на основе SRD. У Вас есть объяснение такому поведению умножителей?
На прикрепленом файле шумы полноценного возбудителя с ЛЧМ, АМ и т.д.(ибо ДДС и соответствующая скорость перестройки в узком диапазоне, в широком начинает подтормаживать PLL, но до единиц/десятков микросекунд довести возможно, проверено). Да, так вот, это макет в котором используется рубидиевая опора 10МГц, затем кварцевый фильтр, а потом я немного промахнулся с уровнями и пришлось добавить нечто типа MSA0505 или MSA1104(сейчас точно не помню) с шумами около 5/6дБ и малым усилением, затем умножитель на 10 и умножитель на 5 и затем на 14. Плюс смесители, дополнительный синтез и т.д. Тем не менее если отталкиваться от Вашего ответа на сайте Эльвиры и совершенно неподходящего выходного уровня возбудителя(-16дБн) я имею -114...-116дБн/Гц(после пересчета с учетом шума FSU) на 10КГц отстройке и -130 на 300КГц отстройке.


В вопросе ФШ нужно оценивать полочные явления и вовремя их избегать. Что я имею в виду? Предположим у Вас опора 100 МГц с ФШ -180 дБ/Гц @10 кГц. Вы хотите ее умножить допустим на 50 и получить -146 дБ/Гц @10кГц. На практике вы не получите лучше -138...140 дБ/Гц. Потому что здесь у умножителей SRD полка. Причем это не полка теплового шума. Выше я уже писал: предположим, что на выходе умножителя мы имеем -20 дБм. Тепловая полка для данного выходного уровня это -177 дБ/Гц +20 дБ = -157 дБ/Гц. Так вот если Вы работаете существенно выше полки умножителя (поскольку на 7ГГц -116 дБ/Гц шум не Бог весть какой), то Вы его с успехом используете без деградации ФШ, потому что деградация у Вас (если она есть) обусловлена другими элементами схемы. В нашем случае мы работаем вблизи полки и для нас она является одним из ограничивающих факторов.

Цитата
Интересно (просто интересно, никакой критики), а почему у Вас PLL bandwidth выбран близким к 1 МГц? Если Вы используете ЖИГ, то, всё равно, скорость перестройки будет ограничена, а шумы на 1 МГц теряются, т.е. это, как раз, тот случай, который отстаивал Dr. Drew.


Вопрос понятен. На самом деле, если я на своем приборе поставлю спан, например, 100 МГц, где достаточно высокая скорость сканирования (20-10мсек при фильтре 1МГц), то на спектре при узкой петле появляется дребезг, который пропадает с расширением петли или с увеличеним времени развертки. У ЖИГ-синтезатора шум на 10кГц можно дополнительно завалить на 2-3 дБ, если петлю вообще сдвинуть в район 1-1,5МГц. Поэтому часто в спектроанализаторе используется несколько петель коммутируемых в зависимости от спана (узкая на широком, широкая на узком). Мы пока решили остановиться на одной "компромиссной" петле с частотй среза примерно в 600кГц.

Цитата
1) Ref = 0 дБм (min att = 10 дБ) шум = -146.5 дБм при полосе 1 Гц и ровно на 10 дБ увеличивается с 10-кратным увеличением полосы
2) Ref = -4 дБм (min att = 6 дБ) шум = -150.5 дБм при полосе 1 Гц и также меняется с увеличением полосы
3) Ref = -10 дБм (min att = 6 дБ и меньше сделать нельзя) шум такой же как в пунке 2


В принципе, Ваши результаты коррелируют с моим более ранним утверждением, что анализатор спектра со сквозным коэффициентом передачи (поправляю термины rolleyes.gif), лучше, чем -145дБ/Гц сделать нельзя. Я ошибся на 1.5 дБ. Но Вы используете типичные значения, я же говорил про спецификацию. Внесу еще одно уточнение на всякий случай: нельзя сделать, если мы хотим одновременно иметь точку IP3 анализатора выше +15дБм. То, что прибор не позвляет выставить аттенюацию меньше определенного уровня при заданном REF LEVEL связано с тем, что прибор не дает превысить определенный порог в дБ относительно полной шкалы АЦП.

Автор: rloc Jan 21 2011, 11:50

Цитата(Sergey Beltchicov @ Jan 21 2011, 12:08) *
Тепловая полка для данного выходного уровня это -177 дБ/Гц +20 дБ = -157 дБ/Гц.

А не -174 дБм была 50-омная шумовая полка?

Цитата(Sergey Beltchicov @ Jan 21 2011, 12:08) *
Поэтому часто в спектроанализаторе используется несколько петель коммутируемых в зависимости от спана (узкая на широком, широкая на узком).

Примерно также и в PXA задумано, три настройки петли обратной связи:
1) Best Close-In Ф Noise (offset < 140 kHz)
2) Best Wide-Offset Ф Noise (offset > 160 kHz)
3) Fast Tuning

Цитата(Chenakin @ Jan 21 2011, 02:09) *
При этом если использовать ФАПЧ (а не прямой синтез), то ”в ядре” уходить, скорее всего, следует ещё выше вверх, а не вниз. Имеется ввиду не сам ГУН, а умножитель в петле ФАПЧ. Если использовать умножитель в петле, а не делитель, то шумы детектора и др. элементов (кроме опоры, конечно) будут улучшатся, а не деградировать по тому же 20logN закону. ”Мелочь”, но приятноsm.gif.

Почему-то раньше и мысль не приходила умножать обратную петлю. Интересный вариант. В QuickSyn такая же реализация?

Автор: Sergey Beltchicov Jan 21 2011, 12:12

Цитата(rloc @ Jan 21 2011, 14:50) *
А не -174 дБм была 50-омная шумовая полка?


В литературе того же Аджилента утверждается, что тепловой пол для однополосного ФШ -177 дБ/Гц. Хотя часто это становится предметом спора.

Автор: Dr.Drew Jan 21 2011, 12:14

Цитата(rloc @ Jan 21 2011, 14:50) *
Почему-то раньше и мысль не приходила умножать обратную петлю. Интересный вариант. В QuickSyn такая же реализация?


Видимо, да. Но тут есть подводный камень - если шумы "подставки" в обратной связи выше шумов ФД, то толку от умножения не будет.

По поводу шума 50 Ом, не путайте тепловой шум минус 174 дБм/Гц и фазовую составляющую, меньшую на 3 дБ - минус 177 дБм/Гц. В сумме с амплитудной они дают минус 174.

Автор: ledum Jan 21 2011, 12:29

Цитата(Dr.Drew @ Jan 21 2011, 14:14) *
По поводу шума 50 Ом, не путайте тепловой шум минус 174 дБм/Гц и фазовую составляющую, меньшую на 3 дБ - минус 177 дБм/Гц. В сумме с амплитудной они дают минус 174.

И не только 50 Ом. Я думаю любой резистор от тепла шумит минус 174дБм/Гц или минус 177 амплитудного и минус 177 фазового. При 300 Кельвин .

Автор: Dr.Drew Jan 21 2011, 12:33

Позвольте с вами не согласиться. СПМ теплового шума пропорциональна сопротивлению резистора. А вот то, что половина этого шума амплитудная, а половина - фазовая, это для любого резистора справедливо.

Автор: Sergey Beltchicov Jan 21 2011, 12:34

Цитата(Dr.Drew @ Jan 21 2011, 15:14) *
Видимо, да. Но тут есть подводный камень - если шумы "подставки" в обратной связи выше шумов ФД, то толку от умножения не будет.

По поводу шума 50 Ом, не путайте тепловой шум минус 174 дБм/Гц и фазовую составляющую, меньшую на 3 дБ - минус 177 дБм/Гц. В сумме с амплитудной они дают минус 174.


А когда производитель (допустим, Hittite) нормирует фазовый шум для умножителя в дБ/Гц, что он по Вашему имеет в виду: фазовый шум или фазовый вместе с амплитудным?

Вот по этой ссылке Picosecond тоже говорит о тепловом поле в привязке к своим NLTL-умножителям.
http://electronix.ru/redirect.php?http://www.picosecond.com/objects/RPN-Measurement.pdf

Автор: ledum Jan 21 2011, 12:43

Цитата(Dr.Drew @ Jan 21 2011, 14:33) *
Позвольте с вами не согласиться. СПМ теплового шума пропорциональна сопротивлению резистора.


P=kTdeltaF или если привести к одному Герцу 10лог(1.38*10-23*300)-10лог(1000)=минус 173.8дБм
Что касается частотных и амплитудных - ИФ1603СА четко разделялось измерение амплитудных и фазовых шумов с совсем разными схемами. Но на уровне тепловых шумов это может быть нереально поэтому ИМХО просто принимают на веру равное распределение.
Я немного схитрил с СПМШ (смайлик). Но работать с несогласованными цепями некошерно.

Автор: Dr.Drew Jan 21 2011, 13:16

Перепутал с шумовым напряжением.

Автор: rloc Jan 21 2011, 13:42

В Picosecond тоже люди работают:

Код
Where 177dBm is the thermal noise of a 50ohm resistor


Явно речь не о напряжении была, ну не упрекать же их за это.

Автор: ledum Jan 21 2011, 13:58

Цитата(rloc @ Jan 21 2011, 15:42) *
Цитата
Where 177dBm is the thermal noise of a 50ohm resistor


Явно речь не о напряжении была, ну не упрекать же их за это.

При минус 123 Цельсия и не такое напишешь. А если серьезно, то дай бог нам до их цифирок дорасти (я про себя).
А описки даже у НИСТовцев бывают http://electronix.ru/redirect.php?http://nistboulder.net/Phase_noise_suppression.pdf - используют "defective noisy NLTL" , а на графиках везде пишут SRD

Автор: Dr.Drew Jan 21 2011, 16:30

Цитата(ledum @ Jan 21 2011, 16:58) *
то дай бог нам до их цифирок дорасти


Ларчик, всегда просто открывается...

Автор: chikilfarik Jan 22 2011, 00:53

курить есть у кого нибудь?

Автор: ledum Jan 22 2011, 09:56

Цитата(chikilfarik @ Jan 22 2011, 02:53) *
курить есть у кого нибудь?

Скажите спасибо, что хоть дым понюхать дают. Траву каждый свою выращивает. Но специфика такова, что плантацию сдавать нельзя - куда ни стань - секреты фирмы. Я надеюсь, Сергей не обидится, если я приведу ссылки на его статью http://electronix.ru/redirect.php?http://www.kit-e.ru/assets/files/pdf/2009_05_139.pdf http://electronix.ru/redirect.php?http://www.kit-e.ru/assets/files/pdf/2009_06_142.pdf . Курите.
Офф. Хотя смотреть со стороны интересно. Первый раунд боксерского поединка. Прощупывание. По закону жанра на этом все явное должно закончиться.

Автор: YIG Jan 22 2011, 12:13

Цитата(ledum @ Jan 22 2011, 12:56) *
Офф. Хотя смотреть со стороны интересно. Первый раунд боксерского поединка. Прощупывание. По закону жанра на этом все явное должно закончиться.

bb-offtopic.gif Интересно, что основные участники обсуждения друг другу конкурентами никак не являются, за исключением Элвиры с Микраном.
Мне, например, гораздо проще заказать QS, чем сидеть и самому его разрабатывать и отлаживать, а в книге заинтересовал элементарный ликбез, который давно напрашивался. Так что второй раунд скорее всего будет! rolleyes.gif

Автор: ledum Jan 22 2011, 12:25

Цитата(YIG @ Jan 22 2011, 14:13) *
bb-offtopic.gif Интересно, что основные участники обсуждения друг другу конкурентами никак не являются, за исключением Элвиры с Микраном.

Тоже офф. Речь не о конкуренции, а о ноу-хау, которые можно применять в совсем скажем в других областях любимого топика. В мишках неоднократно говорил - промышленный шпионаж - святое, особенно в наше время полностью разрушенных профессиональных школ. Иначе, что я, декодерщик, с технологическим образованием напылителя здесь делаю, при этом в профильных стараюсь вообще не появляться, дабы не ляпнуть лишнего?

Автор: ledum Jan 23 2011, 11:00

Цитата(rloc @ Jan 20 2011, 12:59) *
какой тип NLTL и SRD брали для сравнения, чем фильтровали и как согласовывали?
Я так понимаю опора с шумом -176 дБн/Гц своя?

Я вроде давал ссылку на эту статью - дает достаточно пищи для размышлений. Хотя есть вопросы - почему бы умножитель на ДНЗ ему при измерениях не посадить на диплексор, пусть простейший, даже не полосовой, комбинацией ФНЧ-ФВЧ - это резко уменьшит отражение нежелательных гармоник, при правильном выборе частоты раздела и затухание можно малое сделать - одна из его версий шумов в умножителе на ДНЗ - дрожание заднего фронта из-за отражений лишних гармоник. Усилитель на BFG591 шумноват, даже не смотря на 3-х децибельное ограничение. Хоум мейд умножитель на нелинейной линии передачи - это интересно и просто (смайлик)

 jasonb_phd_thesis.pdf ( 1.95 мегабайт ) : 823
 

Автор: rloc Jan 25 2011, 13:11

Цитата(ledum @ Jan 23 2011, 14:00) *
Я вроде давал ссылку на эту статью - дает достаточно пищи для размышлений.

Книжка конечно интересная, но не могу найти нигде сведений о значениях комплексного входного и выходного сопротивления на соответствующих гармониках, хотя бы для входных частот 100, 200, 250 МГц при разных bias. Недавно я занимался согласованием мощных усилителей класс-С, задача не из легких, но решаемая. Для усилителей вполне достаточно было согласовать до 3-ей гармоники, для NLTL предположительно и 5 гармоник хватит, далее уровень сильно падает и возможно отраженные гармоники не так сильно влиять будут. Появилось желание поэкспериментировать самому, благо есть возможность измерить сопротивления, остался только вопрос через кого достать в России Picosecond?

Цитата(ledum @ Jan 23 2011, 14:00) *
Хоум мейд умножитель на нелинейной линии передачи - это интересно и просто (смайлик)

Это что за зверь?

Автор: YIG Jan 25 2011, 13:46

Цитата(rloc @ Jan 25 2011, 16:11) *
Появилось желание поэкспериментировать самому, благо есть возможность измерить сопротивления, остался только вопрос через кого достать в России Picosecond?

Мне один умный человек eBay посоветовал, но мне там что-либо брать можно только для экспериментов.
Если попробую комплектовать с иБэя заказные изделия - меня самого отибэют по полной программе! twak.gif

Автор: ledum Jan 25 2011, 14:13

Цитата(rloc @ Jan 25 2011, 15:11) *
Это что за зверь?

В том диссере описана NLTL 8-го порядка на дискретных 10нГ индуктивностях и сверхрезких варикапах, у которых емкость от приложенного СВЧ меняется от 9 до 4пф. Возможно, что 1Гиг из 200МГц на ней получить можно. Обычные NLTL имеют 50-100 порядок, интегрированые на чипах, но на них и 50ГГц получают из 100МГц.
Вот еще вариант такой попытки

 Higher_Harmonic_Generation_and_Parametric_Instabilities.pdf ( 313.14 килобайт ) : 314
 

Автор: Chenakin Jan 27 2011, 03:03

Цитата(YIG @ Jan 19 2011, 05:44) *
Почему 4-10 ГГц сразу понял, основная рабочая октава, которая от 10 до 20 ГГц, от 20 до 40 ГГц переносится умножением, а ниже 4 ГГц - делением, причём 2-4 ГГц, 1-2 ГГц и т.д.

Ремарка: для переноса умножением не обязательно иметь октаву (хотя и удобно). Меня больше интересовала частота “ядра”.

Цитата(Dr.Drew @ Jan 21 2011, 04:14) *
Но тут есть подводный камень - если шумы "подставки" в обратной связи выше шумов ФД, то толку от умножения не будет.


Конечно. Но это не подводный камень, а законы природы, которые никто не отменял. Как я понимаю, говоря "подставка", Вы имеете ввиду сигнал, идущий на LO вход смесителя? Тогда он и будет опорой, или извлекаться из той комбинированной опоры. Т.е. если изначально опоры требуемой нет, то уже ничего не поможет. Всё сходится.



Цитата(ledum @ Jan 22 2011, 01:56) *
Хотя смотреть со стороны интересно. Первый раунд боксерского поединка. Прощупывание. По закону жанра на этом все явное должно закончиться.

Вообще, я с ответами всегда хронически запаздываю. Но тут я, видно, совсем “выпал” из темы. Вы не подскажите, кто кого боксирует, а, главное, зачем? Лично для меня – это просто возможность общения. Как-то само собой получилась широкая тема для обсуждения, подобрался интересный коллектив для обмена мнениями (а не ударами) по очень широкому кругу вопросов. Честно говоря, никакого негатива я лично не почувствовал, мне было просто интересно.

Цитата(ledum @ Jan 22 2011, 01:56) *
Скажите спасибо, что хоть дым понюхать дают. Траву каждый свою выращивает. Но специфика такова, что плантацию сдавать нельзя - куда ни стань - секреты фирмы.

Замечание верное. К сожалению, приходится оставаться внутри определённых рамок. Хотя, к вопросу инт. соб. я лично отношусь исключительно спокойно. Был у нас как-то обмен мнениями, как защитить QuickSyn от попыток копирования. Звучало много разных предложений, например стирать названия с чипов и т. д. Я же предложил просто выложить все схемы на вебсайте, чтобы дать возможность “прочувствовать” всю прелесть копирования. Смысл исключительно чётко сформулирован YIGом:
>>>Мне, например, гораздо проще заказать QS, чем сидеть и самому его разрабатывать и отлаживать…
Очень точно сказано (как и другие замечания YIGа). Справедливости ради скажу, что моё предложение понимания не получило, но, правда, и чипы решили не затирать.

Цитата(ledum @ Jan 22 2011, 04:25) *
Тоже офф. Речь не о конкуренции, а о ноу-хау

На мой взгляд, здесь два подхода к понятию ноу-хау – на концептуальном уровне (уровне идеи) и на уровне практической реализации. Второе лично для меня представляет гораздо меньший интерес. Более того, скажу честно, на уровне оптимизации резисторов я чувствую себя совсем не очень комфортно. Просто потому, что последний год (а то и больше) к этому вообще не подходил. Вся основная работа сейчас (процентов 70%) – это собрания, всякая орг. деятельность и т. д. Еще 25% процентов – это раздать ценные указания своим инженерам и только оставшаяся часть это – для души – работать с чем-то новым, как раз на концептуальном уровне. Вот это для меня гораздо более интересно и здесь я стараюсь быть гораздо более открытым. Пример – та же тема – “ЖИГ или не ЖИГ”, которую не раз поднимал и на различных конференциях и здесь на форуме, и в той же книге есть целая главка об этом, а сейчас готовлю статью для Microwaves&RF, которая, надеюсь, появится в апреле или мае. Также, надеюсь, они мне любезно разрешат разместить её на нашм вебстайте для всеобщего пользования. Так что по мере сил пытаюсь быть открытым, вопрос только, что представляет интерес и что можно действительно считать ноу-хау.

И ещё на эту тему. Здесь присутствуют люди из известных Российских компаний. Почему бы не организовать межд. коференцию непосредственно на эту тему? Можно пригласить людей из очень часто упомянаемых здесь источников, поговорить тэт-а-тэт на интересующию тематику. Если у кого такое желание возникнет, я бы с удовольствием помог, например, с приглашением ин. участников. Подумайте.


Цитата(ledum @ Jan 22 2011, 04:25) *
Иначе, что я, декодерщик, с технологическим образованием напылителя здесь делаю

Ну, зачем так. Я читал Ваши коменты в других темах, они явно тянут больше чем на технологическое напыление. Кстати, ledum, я заметил, Вы из Киева. Где, чем занимаетесь, если не секрет? Также было бы интересно познакомиться с тов. YIGом и другими участниками форума. Кто не боится потерять инкогнито, может черканёте пару слов мне на achenakin@phasematrix.com? Просто интересно, с кем ведёшь беседу, плюс всегда могут возникнуть другие, внефорумные варианты сотрудничества. Все, вроде, как из одной области.

Цитата(YIG @ Jan 22 2011, 04:13) *
Так что второй раунд скорее всего будет! rolleyes.gif

Тогда я в зрители, или в судейство, а пожалуй, лучше всего, по телевизоруsm.gif

Автор: YIG Jan 27 2011, 08:07

Цитата(Chenakin @ Jan 27 2011, 06:03) *
Ремарка: для переноса умножением не обязательно иметь октаву (хотя и удобно). Меня больше интересовала частота “ядра”.

То есть, Вы имеете ввиду умножение с помощью ФАПЧ? Я же имел ввиду классическое кратное умножение, например, микросхемами фирмы Hittite.
Цитата
Также было бы интересно познакомиться с тов. YIGом и другими участниками форума. Кто не боится потерять инкогнито, может черканёте пару слов мне на achenakin@phasematrix.com? Просто интересно, с кем ведёшь беседу, плюс всегда могут возникнуть другие, внефорумные варианты сотрудничества. Все, вроде, как из одной области.

Ремарка: При этом терять инкогнито совсем необязательно. Интернет вполне подходит не только для делового, но и для свободного общения через свободный E-mail и псевдоним. Другое дело, если кто боится, что его могут вычислить, то могу Всех заверить, что спецслужбы, если надо, вычислят любого без проблем. Но в моём случае более актуален анекдот про "неуловимого Джо"! biggrin.gif
Цитата
Тогда я в зрители, или в судейство, а пожалуй, лучше всего, по телевизоруsm.gif

Вижу слова истинного американца, у которого слово раунд ассоциируется прежде всего с боксом! sm.gif
Я же имел ввиду круг, оборот, заход и т.д.

Автор: ledum Jan 27 2011, 08:47

Здравствуйте, Александр...
Опус-оффтопик пофиксил

Автор: Chenakin Jan 27 2011, 15:06

Цитата(YIG @ Jan 27 2011, 00:07) *
То есть, Вы имеете ввиду умножение с помощью ФАПЧ? Я же имел ввиду классическое кратное умножение, например, микросхемами фирмы Hittite.

Нет, я про тоже что и Вы (как я понял) – классическое кратное умножение. Просто приходилось видеть, как серьёзные люди на полном серьёзе пытались доказывать, что им необходима как минимум октава, чтобы “перемножать” вверх. Пример из книжки-ликбеза (Ваше определениеsm.gif. Вообще Ваши off – это на уровне искусства, без шуток – просто получаю удовольствие от чтения).

Итак, ближе к телу. Имеем что-либо уже октавы, для простоты “вычислений”, скажем, 4-6 ГГц. Ну, а дальше чистая арифметика:

(4-6) x 2 = (8-12)
(4-6) x 3 = (12-18)

Всё. Из “недооктавы” получили октаву (точнее даже шире: 8-18 ГГц), ну а дальше - вверх классическим путём. Заранее согласен, что с x3 работать сложнее (сабгармоники) и специально это делать не стоит, но, если очень нужно, то можно. А вот использование раздельных умножителей (неважно x2 или x3) предпочтительно уже и с практической точки зрения по тем же причинам (сабгармоники).

Извините за арифметику, это больше к моему окружениюsm.gif. Юмор Ваш понял, и одобряю - сам в том же ключеsm.gif

Цитата(ledum @ Jan 27 2011, 00:47) *
Здравствуйте, Александр...

Да, это почти-что крик души... Спасибо, Виктор. Также и Вам удачи и всех благ. Будем на связи.

Автор: Green_Smoke Jan 27 2011, 19:19

Уважаемые форумчане!
Разъясните, пожалуйста, следующий момент.
В MWJ за апрель 2008 года была опубликована статья "A Self-offset Phase-locked Loop" Bogdan Sadowski
Так вот там предлагается схема синтезатора с автооффсетом, реализованном на двух делителях частоты с коэффициентами K и L.
Делители стоят в в кольце синтезатора в параллель и замешиваются в него через смеситель.
Собственно вопрос: действительно ли такая схема дает улучшение по фазовым шумам при определенных значениях K и L?

Автор: Chenakin Jan 28 2011, 02:31

Цитата(Green_Smoke @ Jan 27 2011, 11:19) *
Уважаемые форумчане!
Разъясните, пожалуйста, следующий момент.
В MWJ за апрель 2008 года была опубликована статья "A Self-offset Phase-locked Loop" Bogdan Sadowski
Так вот там предлагается схема синтезатора с автооффсетом, реализованном на двух делителях частоты с коэффициентами K и L.
Делители стоят в в кольце синтезатора в параллель и замешиваются в него через смеситель.
Собственно вопрос: действительно ли такая схема дает улучшение по фазовым шумам при определенных значениях K и L?

Смотря с чем сравнивать. The self-offset loop – по сути, довольно оригинальная реализация дробного делителя, коэффициент деления которого определяется как KL/(K+-L). Поэтому, если сравнивать с целочисленным синтезом, то да, на отдельных частотах можно получить выигрыш за счёт использования более высокой частоты сравнения. К сожалению, таких частот не так уж и много и выигрыш не кардинальный, т.к. делитель в петле всё-равно остаётся Но, может, как раз, это и подойдёт к Вашим требованиям, посчитать частоты и коэф. деления просто. Кстати, если есть серьёзный интерес именно к этой схеме, могу состыковать Вас с Богданом, чтобы обсудить детали непосредственно с первоисточником.

Автор: YuriyMatveev Jan 28 2011, 08:21

Так как, смотрю, основное общение идет в этой ветке, то думаю здесь мой вопрос будет к месту.
Не так давно поднимал тему про wideband PLL, но в связи с катастрофическим отсутствием времени на полноценное общение, так все и остановилось на начальных нескольких вопросах.
Собственно к самому вопросу:
имея широкополосный VCO (один или несколько) и PFD чем в общем случае может быть ограничена полоса захвата? Ведь обязательно найдутся такие состояния из которых PFD уже не вытянет, VCO чтобы произошел захват частоты. (что кстати и наблюдаю на практике). Порывшись в литературе по системам фазовой синхронизации полного ответа так и не получил, хотя в одной из книг рассматривался цифровой PFD где утверждалось, что полоса захвата ограничена возникновением предельного цикла, который в свою очередь зависит от формы характеристики регулирования PFD. Но так как синтезаторы лишь часть чем приходится мне заниматься, то и чтение книг ограничилось беглым просмотром (учитывая, что работа ФАПЧ рассматривается на основе Марковских процессов и решения стохастических диффуров. wacko.gif ).

А на практике имею простой однопетлевой синтезатор: два VCO , управляющие входы параллельно, выходы через switch на PFD. И при включении, почему то, захват происходит только на одном VCO. Причем если попытаться сразу при включении выставить частоту работы второго VCO, то захвата нет и PLL уводит VCO куда-то в непонятную область. Хотя если получить захват частоты на первом VCO, а потом переключится на второй, то все нормально - захват есть во всем диапазоне работы двух VCO.

Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????
или же действительно полоса захвата PFD бесконечная и при использовании октавных VCO ни каких проблем в принципе быть не должно???

Автор: Green_Smoke Jan 28 2011, 09:09

Автор утверждает, что фазовый шум внутри кольца ФАПЧ в токой схеме улучшается пропорционально отношению 20log [x/(K+x)], где x=L-K. Например, использование делителей L=20 и K=19 должно привести к улучшение фазовых шумов в кольце ФАПЧ на 26дБ.
Не совсем понятно за счет чего происходит такое улучшение (не указано что улучшается)?

Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
А на практике имею простой однопетлевой синтезатор: два VCO , управляющие входы параллельно, выходы через switch на PFD. И при включении, почему то, захват происходит только на одном VCO. Причем если попытаться сразу при включении выставить частоту работы второго VCO, то захвата нет и PLL уводит VCO куда-то в непонятную область. Хотя если получить захват частоты на первом VCO, а потом переключится на второй, то все нормально - захват есть во всем диапазоне работы двух VCO.

А у ваших VCO крутизна одинаковая? Если нет то вы это учитываете в кольце ФАПЧ?

Автор: YuriyMatveev Jan 28 2011, 09:19

Да, крутизна характеристики используемых VCO примерно одинаковая

Автор: khach Jan 28 2011, 09:57

Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
Ведь обязательно найдутся такие состояния из которых PFD уже не вытянет,

PFD всегда вытянет, если сигнал на входе правильный (одночастотный). Как быстро вытянет- это второй вопрос.
Цитата
Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????

Проблема называется- вторая гармоника VCO и изменение чувствительности прескалера по диапазону или нерассчетный режим VCO. Т.е обязательно перед замыканием петли просмотреть при free running vco спектр VCO и спектр на выходе прескалера, при этом загоняя VCO во все возможные состояния, в том числе и за реальные рабочие диапазоны, т.е до предела,который допускает схема управления VCO- предел по питаниию например или по "рельсе" операционника. На таких пределах VCO может вообще не генерить или генерить черти что (при напряжениях на варикапах около 0 или вообще при открытых в прямом направлении варикапах. Понятно, что захвата в этом случае небудет.

Автор: тау Jan 28 2011, 10:07

Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
имея широкополосный VCO (один или несколько) и PFD чем в общем случае может быть ограничена полоса захвата? Ведь обязательно найдутся такие состояния из которых PFD уже не вытянет, VCO чтобы произошел захват частоты. (что кстати и наблюдаю на практике).
если FPFD работают по цепи обратной связи в диапазоне частот и мощностей всех ГУНОВ, KVCO у всех примерно одинаковый (при непереключаемом фильтре PLL) , "switch на PFD" работает правильно , без заметных пролазов от соседних VCO, то проблем с захватом быть не должно.

Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
Причем если попытаться сразу при включении выставить частоту работы второго VCO, то захвата нет и PLL уводит VCO куда-то в непонятную область.
Вот это странно . И куда ж уводится VCO ? что при этом с сигналом от VCO идущим на FPFD ? а если отключить питание первого VCO - захват произойдет ?


Автор: YuriyMatveev Jan 28 2011, 12:39

Цитата(khach @ Jan 28 2011, 12:57) *
На таких пределах VCO может вообще не генерить или генерить черти что (при напряжениях на варикапах около 0 или вообще при открытых в прямом направлении варикапах. Понятно, что захвата в этом случае небудет.


попробую проверить с разорванной петлей что на входе PFD делается при макс. и мин. значении выхода OPAMP ...


Цитата(тау @ Jan 28 2011, 13:07) *
если FPFD работают по цепи обратной связи в диапазоне частот и мощностей всех ГУНОВ, KVCO у всех примерно одинаковый (при непереключаемом фильтре PLL) , "switch на PFD" работает правильно , без заметных пролазов от соседних VCO, то проблем с захватом быть не должно.

Вот это странно . И куда ж уводится VCO ? что при этом с сигналом от VCO идущим на FPFD ? а если отключить питание первого VCO - захват произойдет ?


VCO уводится вниз по частоте, причем сигнал на его выходе присутствует. Питание VCO коммутируемое (если включен один то автоматически выключен другой). Причем в командах управления, чтобы все успело переключится, введена задержка при переходе от одного VCO к другому.
Повторюсь, что если захват произошел, то все в порядке, имеем то что хотим иметь: захват на всех рабочих частотах VCO в интересующем нас диапазоне.
В принципе проблему так сейчас и решил, при включении принудительно выставляю частоту на которой захват происходит без проблем, ну а дальше все более менее работает.
Но, !!!!!!! вопрос все таки остался - почему же захват все таки при включении происходит не на всех частотах работы VCO,
вот я и озадачился вопросом чем все таки физически может быть ограничена полоса захвата PFD???

Автор: YIG Jan 28 2011, 12:58

Цитата(Chenakin @ Jan 27 2011, 18:06) *
Нет, я про тоже что и Вы (как я понял) – классическое кратное умножение. Просто приходилось видеть, как серьёзные люди на полном серьёзе пытались доказывать, что им необходима как минимум октава, чтобы “перемножать” вверх...

...Всё. Из “недооктавы” получили октаву (точнее даже шире: 8-18 ГГц), ну а дальше - вверх классическим путём.

Спасибо, теперь ясно, что Вы имели ввиду. Осталось только уточнить, что проплешину 6-8 ГГц закрываем 12-18 ГГц, делённым на 2.
А то я тут октавниками увлёкся от Synergy, теми, что из серии YIG replacement, но пока не умножал и не делил, просто оптимизирую шумы и спуры, играюсь с током CP PFD на HMC700. Построил на DCYS300600-5 одноконтурную ФАПЧ с шагом 10 МГц в целочисленном режиме, затем решил скомбинировать в таблице управления целое и дробное деление для разных частот (время перестройки позволяет): для выходных частот, кратных 50 или 100 установил соответствующие частоты сравнения при целом N, для остальных - 100 МГц с дробным N. Можно ли всё время переключать частоту сравнения PFD с 50 на 100 МГц и наоборот, или же она должна быть постоянной???
Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????
или же действительно полоса захвата PFD бесконечная и при использовании октавных VCO ни каких проблем в принципе быть не должно???

Я в теории пока слаб, но на практике уже убедился, что проблем быть не должно. Проблема с гармоникой не возникла, так как на радиовход ФАПЧ подавал сигнал с ГУНа на уровне -15 - -20 дБ, можно прикинуть, какова при этом была вторая гармоника. Управляющую характеристику ГУНа компенсирую током CP, хотя остался при своём мнении: в широкополосных (более одной октавы) ФАПЧ, построенных на двух и более ГУНах, этого недостаточно, нужно переключать полосу фильтра. Мнение своё пока списываю на наитие, обосную потом, если подтвердится верность предположения. Но ЖИГов по теории эта проблема не касается.

Автор: тау Jan 28 2011, 13:38

Цитата(YuriyMatveev @ Jan 28 2011, 15:39) *
Но, !!!!!!! вопрос все таки остался - почему же захват все таки при включении происходит не на всех частотах работы VCO,
вот я и озадачился вопросом чем все таки физически может быть ограничена полоса захвата PFD???
наверное лучше не вспоминать про PFD, там свои заморочки с полосой захвата, а у Вас наверняка конкретно стоит FPFD. чисто в теории он не ограничен по полосе захвата. Физически возможно какие-то недостатки встроенного/внешенего прескалера - по гармоникам ему почему-то ловчее работать чем по основному тону (тем более что частота VCO вниз уходит). Причина - мож. с разводкой что , несогласованность.


Цитата(YIG @ Jan 28 2011, 15:58) *
Построил на DCYS300600-5 одноконтурную ФАПЧ с шагом 10 МГц в целочисленном режиме, затем решил скомбинировать в таблице управления целое и дробное деление для разных частот (время перестройки позволяет): для выходных частот, кратных 50 или 100 установил соответствующие частоты сравнения при целом N, для остальных - 100 МГц с дробным N. Можно ли всё время переключать частоту сравнения PFD с 50 на 100 МГц и наоборот, или же она должна быть постоянной???

Практически частое переключение R предделителя не использовал, но в лабораторных условиях для 700-го никаких проблем не вызывает, все работает.

Автор: Dr.Drew Jan 28 2011, 14:10

Переключение R при фиксированной выходной частоте влияет на устойчивость. Надо эа этим следить.

Автор: khach Jan 28 2011, 15:45

Цитата(YIG @ Jan 28 2011, 15:58) *
Но ЖИГов по теории эта проблема не касается.

Не соглашусь. Конечно для петли с предустановкой ЦАПом и смесителем на гармониках это не актуально, а для петли с прескалером- проблема в полный рост. Вот лежит пОциент на столе- диапазон 2.3-7 ггц. Пришлось два прескалера ставить и два направленника. Иначе была такая точка, где прескалеры считали и основную и вторую гармонику и на выходе прескалера- непонятная чехарда импульсов. Захвата петли соответсвенно нет. У этого эффекта был довольно узкий диапазон- мегагерц 200 всего по ширине. Это к тому, что теория- хорошо, а практика - иногда сюрпризы преподносит.

Автор: тау Jan 28 2011, 16:05

Цитата(Dr.Drew @ Jan 28 2011, 17:10) *
Переключение R при фиксированной выходной частоте влияет на устойчивость. Надо эа этим следить.

в кривых по характеристике Гунах , наприм HMC588 приходится в HMC702 менять и ток СР в 4 раза и его смещение в фракциональном режиме "на лету", для красивости. Иначе, как Вы говорите, устойчивость "того", особенно некрасиво с включенным CSP режимом, если этого не предусмотреть.

Автор: YuriyMatveev Jan 29 2011, 16:34

Цитата(khach @ Jan 28 2011, 18:45) *
Иначе была такая точка, где прескалеры считали и основную и вторую гармонику и на выходе прескалера- непонятная чехарда импульсов. Захвата петли соответсвенно нет. У этого эффекта был довольно узкий диапазон- мегагерц 200 всего по ширине. Это к тому, что теория- хорошо, а практика - иногда сюрпризы преподносит.


Вот это уже ближе реали...
может и у меня проблема не с PFD, а с прескалером !!!!!!!
надо будет посмотреть, что у него на выходе делается во временной области.......

Автор: Chenakin Jan 31 2011, 03:38

Цитата(YuriyMatveev @ Jan 28 2011, 00:21) *
Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????

Всё уже, в принципе, обговорили, давайте вместе обобщим, на что нужно обратить внимание при проектировании широкополосной ФАПЧ.

1. PFD/Prescaler
PFD можно смело использовать, нужно лишь проверить все граничные режимы. Например, в ADF4106 частота на входе PFD не должна превышать 104 МГц, а частота на выходе dual-modulus прескалера ~300 МГц. Т.е. если Ваш выходной сигнал более 2.4 ГГц – нельзя использовать 8/9 core, надо переходить на 16/17. При этом нужно проверять не макс. рабочую частоту, а макс. частоту генерации ГУНа, куда он может ненароком попасть. Далее нужно проверить, реализуем ли требуемый коэффициент деления. Например, для 16/17 core, мин. continuous коэф. деления будет 16х15=240, а некоторые меньшие значения могут вообще отсутствовать. Ну, и не стоит забывать проверить уровень сигнала на входе прескалера! При низких сигналах он становится нестабильным. Всё это достаточно тривиально, однако, требует внимания.

2. Гармоники
Иногда гармоники на выходе ГУНа могут быть проблемой, т.к. искажают синусоиду и могут перебрасывать логику (например тот же делитель) более 1 раза за период. В частотной области это выглядит, как 2 сравнимых по амплитуде сигнала приходят на вход делителя, и он не может решить, какому сигналу отдать предпочтение. Результатом может быть и нормальная работа, и генерация на удвоенной частоте, и что-то между ними – т.е. ФАПЧ становится нестабильной. Для правильной работы обычно требуется, чтобы основной сигнал превышал уровень гармоник на 10 дБ (я требую от своих инженеров мин. 15). На практике это, обычно, проблем особых не вызывает.

3. Расчёт фильтра ФАПЧ
Следует иметь ввиду, что коэфф. деления в петле, а также Kvco могут изменяться в довольно широких пределах. Поэтому, если посчитать ФАПЧ только лишь в середине раб. диапазона, то может так получиться, что на краях ФАПЧ будет нестабильной. Поэтому расчёт нужно делать как минимум в трёх точках, причём минимум и макс. должны соответствовать мин/макс возможным частотам ГУНа, а не требуемого рабочего диапазона. Если Kvco имеет перегиб своей характеристики, то кол-во точек в расчёте, соответственно, следует увеличить. Задача – получить требуемые характеристики во всём диапазоне, например, путём изменения Rset, формирования нужной передаточной характеристики операционника, переключения R,C элементов в фильтре и т.д.

4. Использование нескольких ГУНов
В принципе, особой разницы здесь нет. Использование нескольких ГУНов даже предпочтительнее, т.к. узкополосные ГУНы будут иметь лучшие шумы и меньший перепад Kvco (кстати, с гармониками тоже проще - добавим каждому ГУНу по LFCN-у на выходе и нет проблем). Однако, следует исключить взаимное влияние ГУНов (утечка RF с неиспользуемого в данный момент ГУНа, шунтирование tuning line и т.д.).

5. Оп. усилитель
Следует проверить, чтобы оп. усилитель смог выдавать необходимое мин/макс напряжение на tuning port ГУНа.

6. Миксер
При введении миксера в петлю ФАПЧ (offset schemes) следует быть исключительно аккуратным, т.к. сигнал может оказаться не с той стороны гетеродина и ФАПЧ будет уводить ГУН в противоположном направлении, т.е. нужно менять полярность PFD. Возможно, придётся использовать какой-нибудь механизм начальной установки частоты (frequency acquisition).

Вроде всё? Если что забыл, просьба добавить. А вообще, во многих случаях результатом сбоя бывает какая-то глупейшая ошибка. Пример. Демонстрируем заказчику QuickSyn, работающий в list mode. List запускается сигналом триггера со внешнего функционального генератора. Измеряем скорость переключения частот, получается какая-то ерунда – то работает, то нет, то время переключения выходит за пределы спецификации. Извиняемся, приносим ещё образец – новенький, уже протестированый. Вроде заработал, а потом опять начинается та же ерунда. Вот так долго маялись, пока кто-то не заметил, что сигнал функц. генератора кто-то с прямоугольного переключил на синусоиду. Потом долго смеялись, а тогда было совсем не до смеха.



Цитата(Green_Smoke @ Jan 28 2011, 01:09) *
Автор утверждает, что фазовый шум внутри кольца ФАПЧ в токой схеме улучшается пропорционально отношению 20log [x/(K+x)], где x=L-K. Например, использование делителей L=20 и K=19 должно привести к улучшение фазовых шумов в кольце ФАПЧ на 26дБ.
Не совсем понятно за счет чего происходит такое улучшение (не указано что улучшается)?

Уважаемый Green Smoke!
Извините за мой весьма поверхностный предыдущий ответ, постараюсь ответить подробнее (теперь уж извините за возможно излишние деталиsm.gif). Я согласен, что некоторые выводы Богдана выглядят чересчур оптимистично. Действительно, можно легко придумать пример, когда макс. коэф. деления будет меньше, чем Fвых cинт/Fвх дет. И что, шумы опоры будут переносится вверх с ухудшением меньше, чем 20logN? Поэтому вполне уместен вопрос, что улучшается – шумы опоры, или шумы фаз. дет., или шумы делителей? Т.е., конечно, нужен более серьёзный анализ схемы. Не хотите попробовать?
Я рассматриваю ценность схемы в плане использования более высокой частоты опоры (сравнения) за счёт реализации дробного коэффициента деления. Поясню на примере. Допустим (гипотетически) имеется опора 10 МГц и требуется синтезировать сигнал на 119 МГц.

Вариант 1 – классическая целочисленная ФАПЧ.
Делим опору на 10 и подаём на ФД. Т.е. Fвх=1 МГц. В петле используем делитель с N=119. Ухудшение шумов:
20log(Fвых/Fвх)=20log119=41,5 дБ.

Вариант 2 – offset loop (чтобы не было разночтений – см. ниже рисунок)
A=17, B=7, Fвх=10
Т.е. теперь мы можем использовать нашу опору непосредственно на 10 МГц, а ухудшение шумов будет:
20log(Fвых/Fвх)=20log11,9=21,5 дБ.

 Example.PDF ( 232.62 килобайт ) : 498


Это всё сильно упрощенно, конечно; дальше надо смотреть шумы чего доминируют, шумы ФД растут с ростом частоты, улучшаются ли шумы опоры при делении на 10, что происходит на стыке делителей и т.д. и т.п. В общем, схема – не панацея, может использоваться лишь в некоторых благоприятных случаях, а, кроме того, и не достаточно проанализирована. Тем не менее, мне очень она понравилась, как весьма оригинальный способ реализации дробного делителя. Будет желание ”перетереть” теорию – пишите. Как я говорил, можем подключить Богдана, наверное, ему будет тоже интересно.

Автор: vxi Jan 31 2011, 08:57

К пункту 1, который привёл привёл Александр, хотелось бы добавить правильного критерия выбора коэффицентов А и B, входящих в коэффицент деления основного делителя N. N = P*B+A. Критерием правильности работы становится условие В > A.

Автор: Green_Smoke Feb 1 2011, 15:04

Цитата(Chenakin @ Jan 31 2011, 06:38) *
Уважаемый Green Smoke!
Извините за мой весьма поверхностный предыдущий ответ, постараюсь ответить подробнее (теперь уж извините за возможно излишние деталиsm.gif). Я согласен, что некоторые выводы Богдана выглядят чересчур оптимистично. Действительно, можно легко придумать пример, когда макс. коэф. деления будет меньше, чем Fвых cинт/Fвх дет. И что, шумы опоры будут переносится вверх с ухудшением меньше, чем 20logN? Поэтому вполне уместен вопрос, что улучшается – шумы опоры, или шумы фаз. дет., или шумы делителей? Т.е., конечно, нужен более серьёзный анализ схемы. Не хотите попробовать?
Я рассматриваю ценность схемы в плане использования более высокой частоты опоры (сравнения) за счёт реализации дробного коэффициента деления. Поясню на примере. Допустим (гипотетически) имеется опора 10 МГц и требуется синтезировать сигнал на 119 МГц.

Вариант 1 – классическая целочисленная ФАПЧ.
Делим опору на 10 и подаём на ФД. Т.е. Fвх=1 МГц. В петле используем делитель с N=119. Ухудшение шумов:
20log(Fвых/Fвх)=20log119=41,5 дБ.

Вариант 2 – offset loop (чтобы не было разночтений – см. ниже рисунок)
A=17, B=7, Fвх=10
Т.е. теперь мы можем использовать нашу опору непосредственно на 10 МГц, а ухудшение шумов будет:
20log(Fвых/Fвх)=20log11,9=21,5 дБ.

Это всё сильно упрощенно, конечно; дальше надо смотреть шумы чего доминируют, шумы ФД растут с ростом частоты, улучшаются ли шумы опоры при делении на 10, что происходит на стыке делителей и т.д. и т.п. В общем, схема – не панацея, может использоваться лишь в некоторых благоприятных случаях, а, кроме того, и не достаточно проанализирована. Тем не менее, мне очень она понравилась, как весьма оригинальный способ реализации дробного делителя. Будет желание ”перетереть” теорию – пишите. Как я говорил, можем подключить Богдана, наверное, ему будет тоже интересно.


В случае увеличения частоты опоры и частоты фазового детектора все ясно.
Вопрос в другом дает ли схема с автоофсетом какой-нибудь выигрыш при прочих равных?
Предлагаю сравнить прилагаемые схемы.

Как пишет Богдан в своей статье улучшение фазовых шумов в схеме с автоофсетом составит 20lg(10/17)=4.6дБ.


Да, еще хотелось бы знать какой будет коэффициент передачи в цепи обратной связи для расчета фазовых шумов, переходных процессов?
Просто, ( A - B )/( A *B*N)=1/119 ?

Для примера рассмотрим делитель вне кольца обратной связи. Обычный делитель без учета факторов второго порядка снизит фазовые шумы на 20Lg(N). Теперь заменим делитель на схему, предложенную Богданом, т.е на два делителя и смеситель. Коэффициенты деления выберем А=х и В=х-1 (х>>1). Х подобран так, что коэффициент передачи такой цепи равен х*(х-1)=N , т.е. с точки зрения коэффициента деления схема эквивалентна делителю N. При этом фазовые шумы на выходе уменьшаться на 20lg(х)-3 (3 дБ вследствие вычитания сигналов с примерно одинаковыми фазовыми шумами). С учетом соотношения х и N получим ~10lg(N)-3. Таким образом, с точки зрения фазовых шумов две эти схемы неидентичны. Отразится ли это на работе кольца ФАПЧ?

Автор: Chenakin Feb 1 2011, 17:07

Цитата(Green_Smoke @ Feb 1 2011, 07:04) *
В случае увеличения частоты опоры и частоты фазового детектора все ясно.

Да. Именно в этом и вижу основную ценность этой схемы.

Цитата(Green_Smoke @ Feb 1 2011, 07:04) *
Вопрос в другом дает ли схема с автоофсетом какой-нибудь выигрыш при прочих равных?

Надо уточнить “прочие равные”. Если считать, что все комп. идеальны (не шумят) и част. опоры/сравнения/детектора одинакова, то я не вижу каких-либо преимуществ по срав. обыкновенным дел. на N (20logN вроде никто не отменял?). Здесь с выводами Богдана я не согласен.


Цитата(Green_Smoke @ Feb 1 2011, 07:04) *
Предлагаю сравнить прилагаемые схемы.

C Вашими рассуждениями согласен. Предлагаю Вам рассмотреть другой пример, который будет куда нагляднее. Для первой схемы A=8, B=7, Fвх=10, Fвых=560, а делитель на N выбрасываем (он, собственно, принципиальной роли не играет, только создаёт путаницу при обсуждении). Для второй схемы, соответственно, Fвх=10, Fвых=560, N=56). К сожалению, я выпадаю на несколько дней по работе, вернусь чуть позже (хотя, не сомневаюсь, Вы к тому времени вопрос уже закроете. Задача – наглядно показать, что ухудш. остаётся 20log56 sm.gif ).

Автор: Chenakin Feb 7 2011, 02:48

Вижу, тема self-offset дальнейшего развития не получила. Посему на том и остановимся – сие есть весьма оригинальная реализация дробных коэффициентов, а улучшение шумов при “прочих равных” оставим на совести Богдана.


Цитата(Dr.Drew @ Jan 11 2011, 16:20) *
И ещё, хотелось бы увидеть спрект сигнала вместе с побочными спектральными составляющими. Голая цифра минус 70 как-то не устраивает. Интересно, где они находятся.

Dr.Drew, я тут немного “понападал” на Вас в соседней теме sm.gif , а сам так и не ответил напрямую на Ваш законный вопрос по спурам. Исправляюсь, прилагаю данные измерений на 10 ГГц и 9.987654321 ГГц (предвидя возможный вопрос, что будет, если уйти от Integer; кто пробовал некоторые новые benchtop-ы – поймёт о чём это я sm.gif ). Скажу сразу, никаких специальных ухищрений не делалось, просто попросил инженера взять первый попавшийся образец из партии (модель FSW-0010) и “посмотреть” спуры до –80 дБн при разных spans на 10 ГГц и с небольшой отстройкой на какой-нибудь “funny frequency” на его усмотрение.

 Test_Report.pdf ( 971.34 килобайт ) : 621


Как я раньше говорил, в целом, сигнал чистый до –80 дБн, шумы вблизи несущей - спектроанализаторские. Говорю “в целом”, потому что на отдельных частотах, бывает, вылезают “нерегулярные” спуры и уровнем повыше (винты несимметрично поджаты, ecosorb не так приклеен, и т.д.) “Регулярные”, т.е. соответствующие блок-диаграмме (например, спуры DDS, частоты сравнения PFD и т. д.) задавлены основательно – далеко за –80 (потому что знали где их ожидать). Можно ли гарантировать –80 или лучше? Наверное – да, но это товар не штучный, никто индивидуально мерить и подстраивать не будет. Есть производственная линия, стоит ATE, которая может промерить с десяток синтезаторов за день до –70; прошёл –70 – всё, дело сделано. Вот такой подход.

Автор: Dr.Drew Feb 7 2011, 16:18

Такой вопрос по побочным спектральны составляющим (ПСС). Немного дилетантский. Для приборных синтезаторов уровень ПСС минус 80-70 - норма. Причём с течением времени эта цифра почти не меняется. С чем это связано? Проблемы с подавлением или отсутствие целесообразности в достижении уровей минус 110-100?

Автор: rloc Feb 7 2011, 18:03

Цитата(Dr.Drew @ Feb 7 2011, 19:18) *
Для приборных синтезаторов уровень ПСС минус 80-70 - норма. Причём с течением времени эта цифра почти не меняется. С чем это связано? Проблемы с подавлением или отсутствие целесообразности в достижении уровей минус 110-100?

Любой производитель обязан гарантировать уровень ПСС во всем диапазоне своего прибора. Если написать 100-110 дБ, представляете сколько времени уйдет на измерение? Чтобы ускорить этот процесс можно конечно свипировать скачками, с промежуточным преобразованием Фурье, но тогда мы упираемся в SFDR АЦП, а оно тоже на сегодняшний день не очень высокое на больших промежуточных частотах.

Автор: YIG Feb 7 2011, 19:15

Цитата(rloc @ Feb 7 2011, 21:03) *
Любой производитель обязан гарантировать уровень ПСС во всем диапазоне своего прибора. Если написать 100-110 дБ, представляете сколько времени уйдет на измерение? Чтобы ускорить этот процесс можно конечно свипировать скачками, с промежуточным преобразованием Фурье, но тогда мы упираемся в SFDR АЦП, а оно тоже на сегодняшний день не очень высокое на больших промежуточных частотах.

Думаю не только в этом дело. Помимо ограниченного динамического диапазона (мой спектроанализёр, например, не даёт измерить более 100 дБ), будут мешать палки самих приборов. Но и не только в этом дело. Такие спуры скорее всего мало кому нужны и труднодостижимы в подобного рода синтезаторах. А в серийном изделии в широком диапазоне температур гарантировать палки ниже -90 дБн - задача не из простых. В прошлом году загонял палки простых одноконтурных ФАПЧ ниже -100 дБн, удалось дотянуться только до -98 дБн. А тут DDS+PLL. Уже та динамика, что видна, на уровне фантастики! Я ещё долго так не смогу... sad.gif
Александр, а как лучше всего засинхронизировать узкополосный ЖИГ-фильтр с Вашим синтезатором? Хотим применить его в приложении, где палки в узкой полосе (20-40 МГц) допустимы до -70 дБн, а в широкой (более 100 МГц) - не более -110 дБн. При этом скорость перестройки не критична.

Автор: Green_Smoke Feb 8 2011, 19:47

Цитата(Chenakin @ Feb 1 2011, 20:07) *
C Вашими рассуждениями согласен. Предлагаю Вам рассмотреть другой пример, который будет куда нагляднее. Для первой схемы A=8, B=7, Fвх=10, Fвых=560, а делитель на N выбрасываем (он, собственно, принципиальной роли не играет, только создаёт путаницу при обсуждении). Для второй схемы, соответственно, Fвх=10, Fвых=560, N=56). К сожалению, я выпадаю на несколько дней по работе, вернусь чуть позже (хотя, не сомневаюсь, Вы к тому времени вопрос уже закроете. Задача – наглядно показать, что ухудш. остаётся 20log56 sm.gif ).


Александр, ваше мнение и видение данного вопроса понятно.
В предлагаемом вами варианте все ясно. Просто Богдан несколько обнадёжил...

У меня есть еще вопрос. А в каком софте вы считаете схемы с офсетом и т.п. ?
Необходимо рассчитать параметры кольца ФАПЧ с учетом его устойчивости, получить ожидаемую характеристику фазовых шумов, ну и переходную характеристику.

Автор: Chenakin Feb 11 2011, 03:50

Цитата(Dr.Drew @ Feb 7 2011, 08:18) *
Такой вопрос по побочным спектральны составляющим (ПСС). Немного дилетантский. Для приборных синтезаторов уровень ПСС минус 80-70 - норма. Причём с течением времени эта цифра почти не меняется. С чем это связано? Проблемы с подавлением или отсутствие целесообразности в достижении уровей минус 110-100?

Я бы сказал, с соотношением цена/целесообразность. Получить подавление за 100 можно, но вот гарантировать это в серийном изделии в широкой полосе с малым шагом выльется ну в очень хорошую цену, которую совсем не факт найдётся много желающих платить. А делать новый инструмент для очень узкого круга потребителей ни одна серьёзная фирма не отважится – затраты могут не окупиться. И, кстати, чем эти -110 мерить?

Цитата(rloc @ Feb 7 2011, 10:03) *
Любой производитель обязан гарантировать уровень ПСС во всем диапазоне своего прибора. Если написать 100-110 дБ, представляете сколько времени уйдет на измерение? Чтобы ускорить этот процесс можно конечно свипировать скачками, с промежуточным преобразованием Фурье, но тогда мы упираемся в SFDR АЦП, а оно тоже на сегодняшний день не очень высокое на больших промежуточных частотах.

Ну да, действительно, не делать же самим спектроанализатор для таких измерений. Интересно, но несколько компаний, как раз и заинтересовались именно таким применением QS – свипированим скачками (здесь важна скорость перестройки) с последующим собиранием спектра. При этом в каждой точке синтезатор is locked, что сохраняет информацию не только об амплитуде, но и о фазе, а это открывает доп. возможности при обработке сигнала.

Цитата(YIG @ Feb 7 2011, 11:15) *
Александр, а как лучше всего засинхронизировать узкополосный ЖИГ-фильтр с Вашим синтезатором? Хотим применить его в приложении, где палки в узкой полосе (20-40 МГц) допустимы до -70 дБн, а в широкой (более 100 МГц) - не более -110 дБн. При этом скорость перестройки не критична.

Ну, вот, только ушли от ЖИГа, и опять назадsm.gif . Но, как говорится, хозяин (т.е. заказчик) – барин. Если нужно подчистить спектр ЖИГом, то, конечно, это можно сделать. Причём, мне кажется, что возможно удасться обойтись и без каких-то особых ухищрений. Однако, если синхронизация действительно необходима, то я могу предложить попробовать два метода:

1. Использование общей магнитной структуры
Рассмотрим четырёх-резонаторную структуру, помещённую в общее магнитное поле. Один ЖИГ-резонатор используется для построения генератора, который ФАПЧ-уется простейшей вспомогательной ФАПЧ, чтобы выставить необходимую частоту и, тем самым, установить необходимое магнитное поле. Три других резонатора образуют фильтр. А т.к. магнитное поле общее, то частота фильтра выставляется (и поддерживается) автоматически с очень высокой точностью (практически соответстующей разрешению этой вспомогательной ФАПЧ). Просто и красиво. Проблема только в том, что врядли Вы найдёте готовый прибор, т.е. делать придётся самому. Хотя, возможно (судя по вашему нику), это как раз то, что Вас и заинтересует sm.gif .

2. Обычный ЖИГ фильтр
Загоняем ЦАПом фильтр заведомо ниже рабочий частоты и свипируем вверх. Измеряем уровень сигнала на выходе фильтра. Снимаем две точки (напряжение ЦАПа), соответствующие небольшой расстройке (т.е. чуть слева и справа от passband). Берём среднее арифметическое и считаем, что это и будет соотвествовать середине нашего passband (или по другому алгоритму, если АЧХ фильтра не симметричная). Если использовать однорезонаторный фильтр, то можно настроиться просто по макс. сигнала.


Цитата(Green_Smoke @ Feb 8 2011, 11:47) *
Александр, ваше мнение и видение данного вопроса понятно.
В предлагаемом вами варианте все ясно. Просто Богдан несколько обнадёжил...
У меня есть еще вопрос. А в каком софте вы считаете схемы с офсетом и т.п. ?
Необходимо рассчитать параметры кольца ФАПЧ с учетом его устойчивости, получить ожидаемую характеристику фазовых шумов, ну и переходную характеристику.

Обычно, Top-SPICE, который позволяет создавать свои модели компонентов. Хотя, т.к. миксер не изменяет параметры ФАПЧ, то в принципе, подойдёт любой симулятор, который Вы используете для самой обычной ФАПЧ. Помню, я в своё время успешно считал offset loops с помощью незабвенной ADI SimPLL (только с частотами приходилось немного “повозиться”, чтобы обмануть программу).

Автор: YIG Feb 11 2011, 08:03

Цитата(Chenakin @ Feb 11 2011, 06:50) *
Ну, вот, только ушли от ЖИГа, и опять назадsm.gif . Но, как говорится, хозяин (т.е. заказчик) – барин.

Да, решение действительно мягко говоря нестандартное. Первоначально предполагалось в качестве синтезатора использовать ЖИГ-синтезатор собственной разработки и дополнительно чистить его ЖИГ-фильтрами. Но это решение восприимчиво к воздействиям вибрации, поэтому приемлемо только для стационарной аппаратуры. Для мобильных применений нужно научиться гасить вибрацию ЖИГ-генератора, а мы в плане современных технологий мехатроники пока слабо развиты. И сам ЖИГ-синтезатор пока под большим вопросом, его попросту некому здесь делать.
Цитата
Если нужно подчистить спектр ЖИГом, то, конечно, это можно сделать. Причём, мне кажется, что возможно удасться обойтись и без каких-то особых ухищрений.

Да, с помощью суперпрецизионного источника тока и термокомпенсации всех температурных дрейфов мне удавалось сканировать ЖИГ-фильтром частотное пространство до 40 ГГц с погрешностью 5 МГц в диапазоне температур 0 +70 oС, но в диапазоне -45 +85 o все составляющие погрешности резко увеличатся, предполагаю, что погрешность утроится. Термостатировать или подогревать систему нету возможности, итак потребление зашкальное. Да и калибровать такую систему в температуре и времени - задача не из простых. Хотим прощупать другие варианты.
Цитата
Однако, если синхронизация действительно необходима, то я могу предложить попробовать два метода:
1. Использование общей магнитной структуры
Рассмотрим четырёх-резонаторную структуру, помещённую в общее магнитное поле. Один ЖИГ-резонатор используется для построения генератора, который ФАПЧ-уется простейшей вспомогательной ФАПЧ, чтобы выставить необходимую частоту и, тем самым, установить необходимое магнитное поле. Три других резонатора образуют фильтр. А т.к. магнитное поле общее, то частота фильтра выставляется (и поддерживается) автоматически с очень высокой точностью (практически соответстующей разрешению этой вспомогательной ФАПЧ). Просто и красиво. Проблема только в том, что врядли Вы найдёте готовый прибор, т.е. делать придётся самому. Хотя, возможно (судя по вашему нику), это как раз то, что Вас и заинтересует sm.gif .

Классная идея! Правда фильтра третьего порядка может не хватить, думаю, нужен четвёртый порядок. Одна проблема - собрать такую систему очень сложно, у нас пока таких спецов нет.
Цитата
2. Обычный ЖИГ фильтр
Загоняем ЦАПом фильтр заведомо ниже рабочий частоты и свипируем вверх. Измеряем уровень сигнала на выходе фильтра. Снимаем две точки (напряжение ЦАПа), соответствующие небольшой расстройке (т.е. чуть слева и справа от passband). Берём среднее арифметическое и считаем, что это и будет соотвествовать середине нашего passband (или по другому алгоритму, если АЧХ фильтра не симметричная). Если использовать однорезонаторный фильтр, то можно настроиться просто по макс. сигнала.

Мысли по такой калибровке с помощью детекторной головки в голову приходили. Но мы не можем постоянно калиброваться, бОльшую часть времени надо работать. Поэтому, думаю, разработать разумное сочетание термокомпенсации с калибровкой в следящем режиме (по времени и необходимости), как это делается, например, в векторных анализаторах Anritsu.

Автор: khach Feb 11 2011, 10:28

Цитата(Chenakin @ Feb 11 2011, 05:50) *
1. Использование общей магнитной структуры
Рассмотрим четырёх-резонаторную структуру, помещённую в общее магнитное поле. Один ЖИГ-резонатор используется для построения генератора, который ФАПЧ-уется простейшей вспомогательной ФАПЧ, чтобы выставить необходимую частоту и, тем самым, установить необходимое магнитное поле. Три других резонатора образуют фильтр. А т.к. магнитное поле общее, то частота фильтра выставляется (и поддерживается) автоматически с очень высокой точностью (практически соответстующей разрешению этой вспомогательной ФАПЧ).

Идея хорошая, но наступил на грабли- пролаз сигнала вспомагательного генератора в основной фильтр- на спектре в полосе фильтра всегда стоит палка -80-90 дБм от вспомагательного источника. Для полосно-пропускающего фильтра это напрягает. Хотя для режекторного фильтра идея очень хорошо работает. Приходилось строить систему с аналоговым ЗУ для запоминания рабочего тока, отключать вспомагательный генератор после нахождения рабочей точки итд. Т.е как система самокалибровки вспомагательный генератор хорош, не надо добавлять коммутаторы тест-сигнала в тракт фильтра. Но вот постоянно с ним работать неполучается. Или надо как-то переделывать внутренности фильтра, чтобы поднять развязку генератор-фильтр до 120 дБ
Видел один извращенный прибор, в нем с ЖИГ фильтром был совмещен ЯМР магнетометр- по частоте протонного резонанса удавалось держать настройку очень точно. А система управления была обычная ФАПЧ с несколько хитрыми коэффициентами пересчета, которая напрямую сравнивала входную СВЧ частоту с соответствующей частотй ЯМР. Вот только при начальном старте приходилось синхронизировать ЯМР и ЖИГ часть ручками или с помощью поискового генератора.


Автор: YIG Feb 12 2011, 02:45

Остановился на последнем методе самокалибровки системы, как на наиболее надёжном и при этом достаточно простом.
Самые удобные моменты для калибровки:
1. Резкая перестройка синтезатора: ЖИГ-фильтр догоняет уже перестроившийся QS, но не останавливается при достижении пропускания новой частоты, а перемещается дальше нужного, пока сигнал снова не начнёт исчезать. Очень интересно совместить этот метод с явлением естественной самоиндукции, оптимизировав таким образом скорость перестройки, если потребуется.
2. Второй подходящий момент для самокалибровки - включение и стартовый запуск, где можно себе позволить уделить время на большое количество точек самокалибровки.
3. "Простой" системы также должен пойти на руку. В паузах можно наснимать большое количество точек и кривых характеристики перестройки ЖИГ-генератора относительно синтезатора с его опорой.
4. Наконец, аварийная ситуация - исчезновение или ослабление сигнала после фильтра - тоже повод для самокалибровки и самодиагностики.

Но вот в режиме свипирования с мелким шагом перестройки скорее всего придётся швырять синтезатор и ЖИГ-генератор из начальной точки в конечную, а затем обратно, прежде чем начать процесс. Термодрейф в процессе долгого широкополосного свипа неизбежен, но прерывать свип нельзя, поэтому придётся следить за температурой всех террмочувствительных компонентов, корректируя линию движения ЖИГ-фильтра по последним кривым.

Построение такого алгоритма "чистки" спектра синтезатора потребует применения "самообучающихся" алгоритмов, накапливающих данные самокалибровки всей системы в разных точках и в разное время при разных температурах, поэтому ограничиться применением ПЛИС или микроконтроллера, возможно, не получится, скорее всего придётся использовать PC/104 или аналогичные широкотемпературные PC. Хотя также можно построить систему на уже полюбившемся микроконтроллере PIC32 с соответствующим обвесом. Было бы желание! rolleyes.gif

Автор: Dr.Drew Feb 15 2011, 14:56

Цитата(YIG @ Jan 19 2011, 16:44) *
Я тоже сегодня прикинул частотный план и понял, что вполне реально обойтись и одной опорой 10 ГГц, сахваченной петлёй OCXO. Причём в октаве 5-10 ГГц наберётся целый лес крутых палок, фазовый шум которых будет главным образом ограничен делителями, умножителями, смесителями и усилителями, т. е. довольно низкий.



Цитата(Chenakin @ Jan 21 2011, 01:58) *
В принципе, разницы особой нет. В QuickSyn используется “смешанная” схема, т.е. перенос и вверх и вниз. На 10 ГГц работать даже проще, т.к. от шумов уходишь довольно далеко и на многие “мелочи ” уже можно не обращать внимания. На 1 ГГц нужно быть намного аккуратнее. Другое дело, если в Вашей фразе “а я имел ввиду перенос опоры 10ГГц, типа PSI” упор делается именно на PSI, т.е. требования по шумам становятся гораздо жёстче. Тут уж придётся помучиться, т.к. даже обычные цифровые делители уже такие шумы не поддерживают, придётся делать аналоговые. Но, всё равно, реально!

Ну, вот, видите, как быстро у нас всех всё сходится! YIG, если Вы теперь не “раскачегарите” тему PSI то, я чувствую, у нас вообще настанет полный штиль в новых идеяхsm.gif. Ну разве что, Dr. Drew подключитсяsm.gif


Каким ДПКД потом делить эту опору? Хиттайт уже не вывозит. Я нашёл UMXxxx Centellax. Есть ещё что-то подобное?

Автор: YIG Feb 15 2011, 17:31

Цитата(Dr.Drew @ Feb 15 2011, 17:56) *
Каким ДПКД потом делить эту опору? Хиттайт уже не вывозит. Я нашёл UMXxxx Centellax. Есть ещё что-то подобное?

Так и думал, Вы всё-таки заинтересовались этой темой! А вот меня она волновала чисто из спортивного интереса...
Извините, позвольте поправить: не UMXxxx, а http://electronix.ru/redirect.php?http://www.centellax.com/products/microwave/smt/UXM15P. Классный чип, я думал, что таких ещё нет!
Есть ещё кое-что, бог знает что: http://electronix.ru/redirect.php?http://www.adsantec.com/index.php?page=asnt8010#pdf , но это скорее всего не то (нету характеристик!).
Фиксированные и комбинированные делители (на 2 + ДПКД, на 3 +ДПКД и т.д.) тоже не стОит отметать.
Плохо, что деление на 3 у CENTELLAX отсутствует, а у Hittite - только до 7.5 ГГц (http://electronix.ru/redirect.php?http://www.hittite.com/products/view.html/view/HMC437MS8G). http://electronix.ru/redirect.php?http://www.hittite.com/products/view.html/view/HMC862LP3E тоже может как-то сгодиться, хотя и 2n.
Вам же это потом надо будет смешивать и , возможно, умножать, опор (делителей) должно быть не меньше двух. На одном делении ИМХО далеко не уехать.

Автор: Chenakin Feb 16 2011, 03:24

Цитата(khach @ Feb 11 2011, 02:28) *
Идея хорошая, но наступил на грабли- пролаз сигнала вспомагательного генератора в основной фильтр- на спектре в полосе фильтра всегда стоит палка -80-90 дБм от вспомагательного источника.

Да, получить бОльшую развязку будет проблематично. Но требования YIGа по спурам были –70 внутри “ближней зоны”. Т.е. если частота вспом. генератора близка к основному сигналу (а это осуществить не трудно), то мы просто будем иметь ещё один спур в пределах допустимых величин. Кстати, интересно, Вы сами собирали такую структуру или удалось найти готовую?

Цитата(YIG @ Feb 11 2011, 18:45) *
Остановился на последнем методе самокалибровки системы, как на наиболее надёжном и при этом достаточно простом.

Ещё одна мысль. Возможно введение следящей обратной связи, если работать не в центре, а на скате АЧХ. Кроме того, можно все резонаторы фильтра перенастроить на получение “одно-резонансной характеристики”. Алгоритм работы остаётся прежним, т.е. свипируем снизу вверх, но рабочая точка будет не на пике АЧХ, а чуть слева. Эта точка (т.е. напряжение на выходе детектора) сравнивается с каким-то опорным напряжением, выставленным в результате калибровки (с помощью ещё одного ЦАПа). При небольшом уходе от этой точки получаем сигнал ошибки, который будет держать фильтр на месте. И хотя работаем не на пике АЧХ, подавление может получиться даже выше за счёт сокращения полосы (стягиваем её в одну точку), а тепл. уход будет компенсироваться обратной связью.

Это всё так, мысли на ходу. Интересно Ваше мнение на этот счёт.


Цитата(Dr.Drew @ Feb 15 2011, 06:56) *
Каким ДПКД потом делить эту опору?

А зачем нужен ДПКД?

Цитата(Dr.Drew @ Feb 15 2011, 06:56) *
Хиттайт уже не вывозит.

Вы имеете ввиду по шумам, правильно? Тогда нужно использовать аналоговый делитель. Собрать не так уж и сложно.

Автор: Dr.Drew Feb 16 2011, 06:42

Идея такая. Высокочастотную опору, например, 15 ГГц делить с переменным коэффициентом в диапазон около 2-5 ГГц. Точки там получаются довольно часто. Можно сделать преобразование на получаемых частотах с ПЧ 100-500 МГц. А потом ещё раз с поделенной опорой в этот диапазон с ПЧ в десятки МГц. Получается, нужны два делителя с переменными коэффициентом деления до 10 упервого и до 100 у второго (грубо). Оба преобразования получаются довольно чистыми. И не надо возиться с преобразованием на гармониках. По поводу шумов, на 2 ГГц приведённый шум 100 МГц получается где-то минус 144 на 10 кГц, в то время как шум делителей будет на уровне минус 153-150. ЧФД от Хиттайта даст на 10 МГц примерно столько же. Вроде как должны получиться приведённые шумы опоры.

Автор: khach Feb 16 2011, 09:44

Цитата(Chenakin @ Feb 16 2011, 05:24) *
Ещё одна мысль. Возможно введение следящей обратной связи, если работать не в центре, а на скате АЧХ. Кроме того, можно все резонаторы фильтра перенастроить на получение ”одно-резонансной характеристики”.

Встречал подобную систему. Тестовый сигнал был от генератора гармоник на SRD. Детектор -обычный диодный. Был включен через направленный ответвитель во ВХОДУ фильтра ЖИГ, т.е работал на отражение. Гетеродин генератора гармоник имел SSB смеситель с возможностью выбора верхней-нижней боковой и генератор подставки с ЧМ. Подстройкой генератора подставки устанавливали нижнюю боковую на нижний скат фильтра, верхнюю боковую- на верхний скат фильтра. Небольшая по амплитуде ЧМ вызывала "ползанье" зондирующего сигнала по скатам фильтра и синхронное детектирование на частоте ЧМ. С более низкой частотой производилось переключение верхней- нижней боковых полос- опрашивался верхний или нижний скат фильтра. Синхронных детекторов было соответсвенно два- одни для нижнего ската фильтра, другой для верхнего. При опросе "не своего" ската детекторы переводились в режим аналогового ЗУ. Ну и на основании всего этого фильтр настраивался на частоту гармоники гетеродина генератора гармоник, который был ведущим в системе. Одновременно происходило измерение ширины полосы пропускания фильтра (перестройкой частоты генератора подставки). Система была чисто аналоговой. Был еще режим поиска- генератор подставки выключался, гетеродин свипировался по частоте до попадания гармоники в полосу фильтра. Для этого режима был дополнительный детектор на проход фильтра.
ЗЫ. Вопрос- кто нибудь из уважаемых коллег имел опыт использования смесителей с оптическим гетеродином? Пикосекундным импульсным лазером в режиме mode-lock.

Автор: YIG Feb 17 2011, 03:18

Цитата(Chenakin @ Feb 16 2011, 06:24) *
Ещё одна мысль. Возможно введение следящей обратной связи, если работать не в центре, а на скате АЧХ. Кроме того, можно все резонаторы фильтра перенастроить на получение “одно-резонансной характеристики”. Алгоритм работы остаётся прежним, т.е. свипируем снизу вверх, но рабочая точка будет не на пике АЧХ, а чуть слева. Эта точка (т.е. напряжение на выходе детектора) сравнивается с каким-то опорным напряжением, выставленным в результате калибровки (с помощью ещё одного ЦАПа). При небольшом уходе от этой точки получаем сигнал ошибки, который будет держать фильтр на месте. И хотя работаем не на пике АЧХ, подавление может получиться даже выше за счёт сокращения полосы (стягиваем её в одну точку), а тепл. уход будет компенсироваться обратной связью.

Это всё так, мысли на ходу. Интересно Ваше мнение на этот счёт.

Вот, вот оно то, что я искал! 08.gif
И как мне самому такое в голову не пришло? 01.gif
Видимо "чиста цифровое" образование, действительно иногда делает из людей идиотов, не способных мыслить далее "надёжных" алгоритмов. cranky.gif
Ладно, оставим эмоции, перейдём к конструктивной критике. Достоинства очевидны: не нужно всего этого геморроя с термокалибровкой, который справедливо критиковал khach полтора года назад. Поймать "нужный" сигнал в данном случае не представляет труда, так как от нулевой частоты он один имеет высокий уровень, чего не скажешь о генераторе гармоник. Кстати, QS действительно не имеет гармоники второго порядка, как на самой первой спектрограмме?
По поводу ЖИГ-фильтра: с широкополосным фильтром такой "фокус" иногда не пройдёт, часто ЖИГ-резонаторы разъезжаются по частоте и нелинейность АЧХ может создавать "ложный захват на скате", который при этом пойдёт только в плюс с учётом того, что мы находимся заведомо ближе к центру полосы. Но этот полезный эффект узкополосному фильтру скорее всего не грозит: там резонаторы как правило близки по частоте, а АЧХ имеет очень гладкую "классическую" характеристику. Просто надо выбрать полосу с большим запасом, например, 20 МГЦ (кстати, есть у меня такой от нашего "Кварца"). Разумеется, придётся лепить АРУ для такого метода, что само собой не будет камнем преткновения.
Большое спасибо, Chenakin и khach, за просвещение в этой области применения ЖИГ-фильтра!
Цитата
Вы имеете ввиду по шумам, правильно? Тогда нужно использовать аналоговый делитель. Собрать не так уж и сложно.

Всё зависит от поставленных требований по цели и задаче. Меня, например, абсолютно все делители Hittite по шумам устраивают с запасом в два порядка. Самому что-либо лепить не всегда приемлемо с точки зрения технологии и метрологии. А опора на лейкосапфире неприемлема по условиям эксплуатации в том числе.
В довесок ко всему хочу спросить: целесообразна ли по шумам замена нижней опоры (100 МГц) на кварце на верхнюю опору (20 ГГц) на PMYTO, захваченного пелёй ФАПЧ от такого OCXO в узкой полосе (менее 1кГц), если шумы в такой узкой полосе не сильно критичны??? Пока не имел дела с узкодиапазонными PMYTO...

Автор: YIG Feb 19 2011, 12:47

Сегодня залил деньги на карточку, хочу наконец-то купить эту книгу. Никогда ещё подобного не делал.
Подскажите, где проще и быстрее всего её купить?

Автор: YuriyMatveev Feb 19 2011, 13:02

я заказываю книги в Amazon, через 5 дней после заказа курьер доставит вам то о чем вы мечтали sm.gif
из минусов то что доставка стоит порядка 30$, поэтому на мой взгляд лучше сразу заказывать несколько книг...

Автор: YIG Feb 19 2011, 13:20

Спасибо, так и сделал! Жду аж до 1 марта. Что-то долговато.

Автор: Chenakin Feb 22 2011, 23:42

Цитата(Dr.Drew @ Feb 15 2011, 22:42) *
По поводу шумов, на 2 ГГц приведённый шум 100 МГц получается где-то минус 144 на 10 кГц, в то время как шум делителей будет на уровне минус 153-150. ЧФД от Хиттайта даст на 10 МГц примерно столько же. Вроде как должны получиться приведённые шумы опоры.

Понятно. Просто, тут не раз говорилось ”верхняя опора типа PSI” (я так понимаю, не для красного словца, были такие требования?). Если действительно говорить о ”типа PSI” то там шумы указываются от –155 дБн/Гц и ниже на 10 ГГц / 10 кГц. Т.е. уже сразу упираемся в шумы цифрового делителя. А если такие шумы не нужны, тогда всё ясно и понятно. Как сказал YIG: ”Всё зависит от поставленных требований по цели и задаче”.

Цитата(YIG @ Feb 16 2011, 19:18) *
Кстати, QS действительно не имеет гармоники второго порядка, как на самой первой спектрограмме?

Имеет, конечно. QS включает в себя switched filter bank, который давит гармоники до определённого уровня (в зависимости на какой конкретной частоте находишься). На некоторых частотах гармоники проявляются на уровне порядка –45 дБн (что и указано в спецификации для FSW-0010), а на других частотах могут быть вообще задавлены ниже шумов спектроанализатора (как на той картинке).

Цитата(YIG @ Feb 16 2011, 19:18) *
В довесок ко всему хочу спросить: целесообразна ли по шумам замена нижней опоры (100 МГц) на кварце на верхнюю опору (20 ГГц) на PMYTO, захваченного пелёй ФАПЧ от такого OCXO в узкой полосе (менее 1кГц), если шумы в такой узкой полосе не сильно критичны??? Пока не имел дела с узкодиапазонными PMYTO...

Наверное, нет. Всё таки, основное применение YTO – перестройка в диапазоне. Если требуется фиксированая опора (это имеется ввиду?), то CRO или DRO (если частота нужна повыше) будет проще и дешевле. Да и шумы можно вытащить получше.

-----------
Попутно возник вопрос (не знаю по теме ли, наверное, по теме, вспоминая из-за чего весь разговор изначально пошёл), как правильно перевести некоторые синтезаторные термины на русский (имеется ввиду именно корректный эквивалент, который может быть использован в спецификации, а не объяснение термина), а именно:

list mode
triggered list mode
sweep mode
blanking
spurs (что-нибудь покороче ПСС?)
noise floor
lock recovery
power mute
reference aging
warm-up time

А это относится к имп. модуляции:
pulse width compression
pulse overshoot

Автор: YIG Feb 23 2011, 02:45

Цитата(Chenakin @ Feb 23 2011, 02:42) *
Попутно возник вопрос (не знаю по теме ли, наверное, по теме, вспоминая из-за чего весь разговор изначально пошёл), как правильно перевести некоторые синтезаторные термины на русский (имеется ввиду именно корректный эквивалент, который может быть использован в спецификации, а не объяснение термина), а именно:

Хороший вопрос, на досуге стОит поломать голову как это красиво и логично положить на русский язык. Единственное, что никак из этого списка не ложиться - это spurs или spurious. Если бы ПСС, было бы не плохо, а то ж "побочные негармонические спектральные составляющие", так как "побочные гармонические спектральные составляющие" - это субгармоники (subharmonic). Вспомнил из теории, что избыточность русского языка примерно в полтора раза выше английского, а тут много более намечается. А я пока так и перевожу: "спуры", не шпоры же, и не палки, как многие у нас говорят, не русские же их придумали. Хотя и это некорректно, но "спёрз" как-то коряво звучит. Короче, все англо-русские словари от слова spurs в таком контексте в осадок выпали...
А что, есть планы издать книгу на русском?

Автор: ledum Feb 23 2011, 06:29

Цитата(Chenakin @ Feb 23 2011, 01:42) *
Попутно возник вопрос (не знаю по теме ли, наверное, по теме, вспоминая из-за чего весь разговор изначально пошёл), как правильно перевести некоторые синтезаторные термины на русский (имеется ввиду именно корректный эквивалент, который может быть использован в спецификации, а не объяснение термина), а именно:

list mode
triggered list mode
sweep mode
blanking
spurs (что-нибудь покороче ПСС?)
noise floor
lock recovery
power mute
reference aging
warm-up time
А это относится к имп. модуляции:
pulse width compression
pulse overshoot

Здесь два замечания:
1) Требуются ГОСТированные термины (для изобретения, статьи в технический журнал или технические характеристики изделия) или общеупотребимые в русскоязычной среде разработчиков в технической документации, само собой не слэнг (статья рекламного характера или книга)?
2) Проблема с контекстом - пример: "blanking" - практически везде этот термин имеет эквивалент "гашение", но "noise blanking" - "шумоподавление"
"pulse width compression" - "сжатие импульса" - распространенный эквивалентный термин, часто употребляемый в радиолокации (обработка для увеличения точности) и лазерной технике (увеличение мощности за счет сжатия в дифракционной решетке или нелинейная самокомпрессия в оптоволокне), но и "ограничение длительности импульса" (в последнем случае подразумевается паразитное или предумышленное за счет предыскажений при прохождении импульса в тракте) - в старом военном словаре по радиоэлектронике
Ну и чуть оффтопика - делали уже в 90-х селектор каналов, ТУ требовали согласовывать и делать перевод в Институте Стандартов возле тарелки на Дзержинке (сейчас Лыбедская) - послали, приходит вместо "на входе селектора каналов установлен фильтр" "на вході вибіркового перетворювача встановлено цідило (читается по-русски "цидыло")". Тогда Промта не было - так литредактор перевел. Дальше читать уже не было смысла...

Автор: Dr.Drew Feb 23 2011, 07:13

Цитата(Chenakin @ Feb 23 2011, 02:42) *
Понятно. Просто, тут не раз говорилось ”верхняя опора типа PSI” (я так понимаю, не для красного словца, были такие требования?). Если действительно говорить о ”типа PSI” то там шумы указываются от –155 дБн/Гц и ниже на 10 ГГц / 10 кГц. Т.е. уже сразу упираемся в шумы цифрового делителя. А если такие шумы не нужны, тогда всё ясно и понятно. Как сказал YIG: ”Всё зависит от поставленных требований по цели и задаче”.

Попутно возник вопрос (не знаю по теме ли, наверное, по теме, вспоминая из-за чего весь разговор изначально пошёл), как правильно перевести некоторые синтезаторные термины на русский (имеется ввиду именно корректный эквивалент, который может быть использован в спецификации, а не объяснение термина), а именно:

list mode
triggered list mode
sweep mode
blanking
spurs (что-нибудь покороче ПСС?)
noise floor
lock recovery
power mute
reference aging
warm-up time

А это относится к имп. модуляции:
pulse width compression
pulse overshoot


Разные шумы - разные делители. Если сделать ВЧ опору умножением кварцевой, то и цифровыми делителями обойтись можно. Там запас по шумам 10 дБ получается. И схема проще - я всегда с подозрением смотрю на всякие генераторы и смесители на гармониках.
Если лейкосапфир, то, ради выигрыша на 10 дБ по сравнению с кварцем, от "цифры" я бы отказался и попробовал на регенеративных. Только это выливается в изощрённую схему синтезатора-подставки для преобразования частоты в ОС, может даже многократного.

Как-то так:

list mode режим сканирования по списку
triggered list mode режим "по списку" с внешним сигналом переключения (триггером)
sweep mode режим свипирования
blanking
spurs (что-нибудь покороче ПСС?) короче ПСС только спуры
noise floor остаточный шум
lock recovery восстановление захвата
power mute выключение мощности
reference aging изменение частоты за счёт старения ОГ
warm-up time время прогрева

А это относится к имп. модуляции:
pulse width compression
pulse overshoot выброс импульса

С праздником, мужики!

Автор: ledum Feb 23 2011, 08:42

Цитата(Dr.Drew @ Feb 23 2011, 09:13) *
sweep mode режим свипирования

Режим развертки или режим качания, сканирования (частоты, как правило), опять-таки зависит от контекста - пока шел на работу вспомнил как называли это. Перевод Аджилента http://electronix.ru/redirect.php?http://www.unitest.com/pdf/n5181a_ru.pdf использует термин аналогично Dr.Drew, более того
Цитата(Dr.Drew @ Feb 23 2011, 09:13) *
triggered list mode режим "по списку" с внешним сигналом переключения (триггером)

Поточечный режим свипирования по списку частот с запуском . Запуск может быть внешним и внутренним. Это из упомянутого перевода Аджилента стр.5 и стр.17
Цитата(Dr.Drew @ Feb 23 2011, 09:13) *
spurs (что-нибудь покороче ПСС?) короче ПСС только спуры

Есть только хуже. Негармонические паразитные составляющие спектра. При измерении спектральных составляющих мухи и котлеты гармоники и спуры всегда шли по разным пунктам, хотя мерялись одинаково

Ред. За подписью Начальника Метрологической Службы Вооруженный Сил РФ генерал-майора И.Шайко нашел документ с наименованием спуров "Негармонические составляющие" http://electronix.ru/redirect.php?http://www.zakony.com.ua/files/voenprav/02-0222.doc без всяких там паразитных и спектральных. Тот же термин употреблен в переводе ТХ http://electronix.ru/redirect.php?http://www.tehencom.com/Companies/Rohde_Schwarz/SMB100A/Rohde_Schwarz_SMB100A_Rus.pdf
В переводах на некоторые генераторы Аджилента называются и "негармонические составляющие", и "негармонические побочные составляющие"
Также кое-где, например в некоторых ВЧ-генераторах, ПМСМ неправильно они называются "комбинационные составляющие" - не всегда комбинационные все-таки.


Цитата(Dr.Drew @ Feb 23 2011, 09:13) *
noise floor остаточный шум

Здесь у меня версия еще хуже. Остаточный уровень спектральной плотности мощности шума - так было в доке на измеритель флуктуаций ИФ-1603СА. Но там был и шумовой порог измерительной установки, который тоже с некоторой натяжкой можно назвать measurement system noise floor - опять ИМХО зависит от контекста.
Ред. В упомянутом выше переводе описания на Родэ-Шварц SMB100 мягко обойден этот вопрос - "широкополосный шум" при этом дается отстройка > 10МГц и полоса анализа 1Гц
Цитата(Dr.Drew @ Feb 23 2011, 09:13) *
reference aging изменение частоты за счёт старения ОГ

В версии перевода Аджилента на стр.4 :
Скорость старения генератора опорной частоты
Цитата(Dr.Drew @ Feb 23 2011, 09:13) *
warm-up time время прогрева

Что-то у меня все хуже и хуже. Время выхода на рабочий температурный режим, например. Наверное деффки на праздник нас недокормили.
Цитата(Dr.Drew @ Feb 23 2011, 09:13) *
pulse overshoot выброс импульса

Чуть точнее - выброс на вершине импульса - например, п.1.3.3.6 ГОСТ 20271.3-91 Изделия электронные СВЧ. Методы измерения параметров модулирующего импульса. (Когда-то был из основных моих ГОСТов). Просто есть еще выбросы обратной и прямой полярности в паузе 1.3.3.8 и 1.3.3.9 по этому же ГОСТу - http://electronix.ru/redirect.php?http://vsegost.com/Data/191/19131/8.gif http://electronix.ru/redirect.php?http://vsegost.com/Data/191/19131/9.gif
А так - всем здоровья, и никому не было необходимости стать живым воплощением нынешнего названия праздника, чтобы день Победы оставался всегда один, а о поражениях все забыли вообще. Звыняюсь за оффтопик.

Автор: YIG Feb 23 2011, 10:13

Закину свои версии некоторых терминов:
list mode - табличный режим
sweep mode - режим свипа (ABBYY Lingvo)
spurs - спуры (обогатим рузкай езыг sm.gif ), паразитный сигнал
noise floor - шумовой фон, шумовое дно
power mute - отключение мощности
reference aging - старение опоры
warm-up time - время установления рабочего режима, время готовности

Всех с праздником, мужики, много не пейте, побольше занимайтесь спортом! santa2.gif

Автор: Dr.Drew Feb 23 2011, 10:27

Цитата(ledum @ Feb 23 2011, 11:42) *
Здесь у меня версия еще хуже. Остаточный уровень спектральной плотности мощности шума - так было в доке на измеритель флуктуаций ИФ-1603СА. Но там был и шумовой порог измерительной установки, который тоже с некоторой натяжкой можно назвать measurement system noise floor - опять ИМХО зависит от контекста.



Цитата(YIG @ Feb 23 2011, 13:13) *
noise floor - шумовой фон


Может просто - чувствительность?

Хотя конечно зависит от контескта. Если говорить о генераторах и синтезаторах, то это, скорее, остаточный шум. В остальных случаях - чувствительность.

Автор: Chenakin Feb 24 2011, 03:39

Цитата(YIG @ Feb 23 2011, 02:13) *
Всех с праздником, мужики, много не пейте, побольше занимайтесь спортом! santa2.gif

Присоединяюсь к поздравлениям! Попутно замечу, что “много” – это понятие относительное, и далее цитируя YIGa - ”Всё зависит от поставленных требований по цели и задаче” sm.gif . А цели у нас всегда высокие!

Цитата(YIG @ Feb 22 2011, 18:45) *
А что, есть планы издать книгу на русском?

Да, нет (интересная, кстати, словесная конструкция для перевода на англ., а?), книжки часто писать - занятие утомительное, особенно, если ещё и на работу ходить надо. Пока требуется грамотно перевести спецификацию QS.

Цитата(ledum @ Feb 22 2011, 22:29) *
1) Требуются ГОСТированные термины...

Это было бы идеально, но для начало можно остановиться на чём-нибудь “общеупотребимом.” Суммируя всё вышеперичисленное, вот, что пока вырисовывается:

list mode -- режим сканирования (переключения-?) по списку
-- табличный режим сканирования (переключения-?)

triggered list mode -- "то же" с внешним сигналом переключения

sweep mode -- режим свипирования (выглядит неплохо, но вот, существует ли это слово в русском языке?)
-- режим качания

blanking mode – гашение- ??? (чего, когда – пока меньше чем из 6-7 слов ничего не выходит)

spurs -- негармонические составляющие
-- негармонические искажения
-- побочные негармонические спектральные составляющие

noise floor -- остаточный шум
-- фазовый шум при Fот > хх МГц
-- шумовой фон

lock recovery -- восстановление захвата

power mute -- выключение мощности
-- отключение мощности
-- отключение выходного сигнала

reference aging -- изменение частоты за счёт старения генератора опорной частоты
-- скорость старения генератора опорной частоты
-- долговременная стабильность (например xx ppm за 10 лет)

warm-up time -- время прогрева
-- время выхода на рабочий температурный режим
-- время установления рабочего режима

pulse width compression -- сжатие импульса

pulse overshoot -- выброс импульса
-- выброс на вершине импульса
-- выброс за фронтом импульса


Я выделил то, что, вроде, звучит приемлемо, остальное, пока оставляет како-то чувство неудолетворённости (может, просто привыкнуть надо). Предлагаю всем участникам выбрать один наилучший вариант для каждого термина (или добавить свой), а подом подведём итоги.

Автор: ledum Feb 24 2011, 09:00

Цитата(Chenakin @ Feb 24 2011, 05:39) *
list mode -- режим сканирования (переключения-?) по списку

режим свипирования ( http://electronix.ru/redirect.php?http://rus.proz.com/kudoz/english_to_russian/computers_general/1100683-sweep_capability.html ) по списку. Сканирование устоялось для снятия чего-либо - копии, приемники, и т.д.
Цитата(Chenakin @ Feb 24 2011, 05:39) *
blanking mode – гашение- ??? (чего, когда – пока меньше чем из 6-7 слов ничего не выходит)

режим гашения, режим подавления - по контексту

Цитата(Chenakin @ Feb 24 2011, 05:39) *
spurs -- негармонические составляющие

Судя по рассмотрению спецификаций на импортные и российские (советские) генераторы практически устоявшийся термин

Цитата(Chenakin @ Feb 24 2011, 05:39) *
reference aging -- изменение частоты за счёт старения генератора опорной частоты
-- долговременная стабильность (например xx ppm за 10 лет)

По контексту - первая - одна из причин процесса, когда не требуется указания величин
вторая - долговременная нестабильность - ГОСТированый параметр (ГОСТ 22866-77), но эквивалент long-term frequency instability. Чуть более широкое понятие - По ГОСТ определение: "Изменение рабочей частоты кварцевого генератора за заданный интервал времени, происходящее в заданном режиме и вызванное необратимыми изменениями, происходящими в элементах кварцевого генератора.
ИМХО в чем отличие - изменения могут происходить не только а кварце, но и в элементах стабилизатора питания, если он входит в состав генератора, термостабилизатора, окисление в контактах потенциометра, задающего смещение на варикап и т.д.
Цитата(Chenakin @ Feb 24 2011, 05:39) *
warm-up time -- время прогрева
-- время выхода на рабочий температурный режим
-- время установления рабочего режима

Последнее тоже больше нравится, ибо уже звучат предложения ставить элементы Пельтье для термостабилизации - что ж это за ворм-ап. Кул-ап быстрее.
Цитата(Chenakin @ Feb 24 2011, 05:39) *
pulse overshoot -- выброс на вершине импульса
-- выброс за фронтом импульса

Первый - это ГОСТированый термин. Кто не согласен - покусаю. Полтора года жизни в борьбе. А все из-за того, что какой-то чудак на другую букву написал в ТЗ Выброс на вершине импульса (430В - примечание) не должен превышать 7В. Погрешность измерений не должна превышать 3% с доверительной вероятностью 0.95 (или 0.97 - уже не помню). Разработчики устранились - мол у нас есть установка в 2 комнаты размером и которую делали 20 лет - Вам за 8 лет сделаем и тему нам пробейте. А мне Военно-Промышленная Комиссия при СовМине дала 1 год. Из более-менее подходящего был С1-70 с компенсатором постоянки. В результате так приходилось забрехивать заказчика, что сам начинал верить в ту лабуду, которую говорил. С учетом того, что выброс там мог появиться только в результате дефекта. Зато страна получила новые нормы Противодействия Иностранным Техническим Разведкам - пришлось по ходу пробить в Гостехкомиссии - их на эти частоты вообще не было. (Тысячи ведущих, которым пришлось пересчитывать Инструкции ПД ИТР помянут меня не злым тихим матом). Второй почти правильно объясняет сущность параметра см. картинку, но ГОСТовский ИМХО точнее.

 

Автор: Chenakin Feb 26 2011, 22:48

Цитата(ledum @ Feb 24 2011, 01:00) *
Первый - это ГОСТированый термин. Кто не согласен - покусаю.

Дабы избежать покусания sm.gif , остановимся пока на вариантах leduma и подождём пока всё это устаканется. Замечу, что list mode всё же предполагает не свипирование, а, скорее, переключение по любому (не обязательно линейному) закону.

А пока предлагаю на всеобщий суд упрощённый вариант перевода спецификации QS. Принимаются (и весьма приветствуются!) любые замечания как по форме (т.е. перевод) так и по содержанию (т.е. сами технические параметры, пути улучшения и т.д.). Замечания со стороны всегда особенно ценные, поэтому конструктивную критику воспринимаю нормально и только лишь приветствую.

 DS_FSW_0010_0020_Russian.pdf ( 1.07 мегабайт ) : 1035


Автор: Dr.Drew Feb 27 2011, 07:56

ИМХО:
Первая страница:
Шапка таблички - Параметр - Значение (FSW-0010/FSW-0020)
Будет лучше вместо Дискретности написать Шаг перестройки по частоте.
Выходная мощность до 15 и 13 дБм
Вместо Скорости перестройки - Время переключения частоты. Скорость перестройки всё-таки подразумевает размерность Гц/с.
Фазовый шум: минус 122 дБн/Гц@10 кГц (10 ГГц)

Вторая страница:
Перечисление ТХ...те же замечания.
Прецизионный синтезатор...малым энергопотреблением и малыми габаритами.
Модели...(с возможностью расширения до 0,1 и 0,2 ГГц, соответственно).
Генерация сигнала... Это лучше в следующий абзац - там уместнее.
Требуемое частотное перекрытие обеспечивается без использования умножения, для которого характерно наличие субгармоник в выходном спектре.
Использование широкополосной ФАПЧ и миниатюрных... (лучше поменять местами иначе "также" ни к чему)

Третья страница:
Опять дискретность...
А вот здесь время перестройки вместо скорости! Мксек заменить на мкс.
Диапазон регулировки (мощности - прим.моё) (опция 02)
Шаг изменения мощности (опция 02)
КСВН выхода СВЧ
Уровень негармонических составляющих (выше написано про уровень гармоник - однообразие желательно)
Уровень фазового шума

Четвёртая страница:
Подавление в паузе
Источник мдуляции внешний
Тип сигнала модуляции КМОП
Логические уровни...
Уровни опорных сигналов 5 дБм +/- 2 дБ
Частотную и фазовую модуляцию можно заменить на угловую.
Примечание №4: Лучше "При уровне выходной мощности..."

Общее замечание - картинки лучше тоже перевести.

Автор: YIG Feb 28 2011, 03:42

После Dr.Drew, казалось бы, и добавить нечего... Но тем не менее:
Сам термин "cинтезатор частот" режет ухо: с одной стороны - он создаёт множество дискретных частот на один выход, с другой стороны - выход один, и основная частота - тоже одна.
+ Различные виды модуляции...
А может быть всё-таки перейти на термин синтезатор сигнала (с указанием диапазона частот по необходимости)??? laughing.gif
Далее:
4. Уровень выходного сигнала установлен посредине диапазона регулировки.
предлагаю заменить на
4. Уровень выходного сигнала установлен в центре диапазона регулировки.
Затем:
Температурный диапазон 8
Рабочий 00...+550 С
Хранение -400...+700С
заменить на
Хранения -400...+700С
Правильно: Температурный диапазон хранения, кроме того, знак градуса и так есть, не нужно 0 в надстрочную форму переводить (издержки Интернета, решаемые через Word e.t.c.)
Потом:
Все размеры на чертеже нужно указать в мм (в России сказывается влияние немцев), и лишь в скобках или через косую черту - в дюймах с указанием единиц измерения через "inch" или "˝".
Это пока с первого взгляда, надо бы распечатать и на диване почитать! rolleyes.gif
Ждём участия истинного гуру нашей тематики, ledumа. Лично я, пока, стесняюсь в профессионалы переходить... Всего 25 постов отделяют...

Автор: ledum Feb 28 2011, 09:17

Да Вы шо, я сам уже лет 10 спецификации на русском не писал ни разу (украинский и русский не разделяю).
Синтезатор частоты (или частот - без разницы) гостированый термин, например, http://electronix.ru/redirect.php?http://www.priborstandart.ru/catalog/CH1-CH2-CH7/rch6-03.php . Синтезатор сигнала более серьезное изделие ИМХО. Там подразумеваются более жестокие издевательства над сигналом.
На первой странице с бодуна еле въехал в цифры. ИМХО на остальных страницах правильно - через слэш.
До мощность не писАл бы, так и оставил - ибо это тИповая, с учетом погрешности установки +-2дБ может быть +15 и +17 соответственно. Время переключения у меня сразу тоже возникло в голове, даже до прочтения замечаний Dr.Drew. Дискретность. Просто так оставлять нельзя. Обязательно расшифровывать. Ибо дальше идет и дискретность установки мощности, а едининица измерений где-то по ГОСТу, сразу не найду, не может говорить об измеряемом параметре (крутой пример - электрическая емкость в CGSE меряется в сантиметрах) Минимальная дискретность перестройки частоты, минимальный шаг перестройки частоты, минимальный шаг сетки частот - все, что угодно ЕМНИП допускается. Фазовый шум - у меня старая школа: СПМФШ (причем часто флюктуаций, а не шумов, но это уже слишком) , на отстройке 10кГц - надо расшифровывать. Специально показал двум начальникам (оба сигнальщики, но в другой области - один понял, второй - нет, но когда подсказал "на отстройке" - второй сразу вспомнил о чем это), эт (@) подозреваю тоже покатит, хотя не факт. "Диапазон частот" я бы написал "диапазон частот выходного сигнала" , но это абсолютно не обязательно. Картинку лучше подписать, ну там Full frontal, ой, это не оттуда. Типа расположение разъемов и элементов управления на передней панели.
Погрешность установки частоты - "определяется источником опорного сигнала" - обычно так писАли, не "соответствует". Ну и рисунки на этой странице: "Зависимость выходной мощности от частоты при различных установленных значениях мощности (модельFSW-0010) " и хотя бы "Спектры фазовых шумов при различных частотах выходного сигнала". Ну и замечание, касающееся "-". Когда не имеется ввиду символ + над - , а отрицательное значение, строго требовалось написание "минус", кроме таблиц, где невозможно спутать с тире, как сейчас - не знаю. Сжатие импульса здесь вроде не совсем корректно, ближе "изменение длительности радиоимпульса относительно входного видеоимпульса - не более минус 15нс" - вот такое у нас трудное детство было.
И мне подавление в паузе между импульсами привиделось, а не "Коэффициент закрытия в паузе".
Чувствительность по входу при амплитудной модуляции на трезвую голову как-то не очень. В смысле что это значит и что там программируется. Больше похоже на программируемость зависимости глубины АМ от уровня входного сигнала или я не прав?
Ну и размеры в дюймах у нас очень туго идут, почти пугающе.

Автор: Dr.Drew Feb 28 2011, 10:21

Цитата(ledum @ Feb 28 2011, 12:17) *
До мощность не писАл бы, так и оставил - ибо это тИповая, с учетом погрешности установки +-2дБ может быть +15 и +17 соответственно.
Ну и замечание, касающееся "-". Когда не имеется ввиду символ + над - , а отрицательное значение, строго требовалось написание "минус", кроме таблиц, где невозможно спутать с тире, как сейчас - не знаю.
Чувствительность по входу при амплитудной модуляции на трезвую голову как-то не очень. В смысле что это значит и что там программируется. Больше похоже на программируемость зависимости глубины АМ от уровня входного сигнала или я не прав?


На первой страничке даны отрывочные сведения. До, значит, что синтезатор имеет регулировку мощности и максимально способен выдать 15 или 13 дБм и без всяких там плюс/минус - это уже метрология и нужно копать вглубь документа. Просто, когда читаешь "Мощность 13 дБм", то сразу укореняется мысль, что синтезатор тупо выдаёт один уровень мощности (ну есть ещё модуляция), а потом по ходу дела узнаёшь (О, чудо!), что ещё и регулировать можно.

Требования к минусу остались и здесь их тоже желательно удовлетворить.

Чувствительность по входу - это уровень входного сигнала, при котором достигается запрограммированная глубина модуляции. Если написано 1В для получения глубины, например, 0,5, то будьте добры...Иначе вводите масштабный коэффициент и держите его в голове.

Автор: YIG Feb 28 2011, 16:32

Рад сообщить, что сегодня стал очередным обладателем сабжа и собираюсь таким образом пройти курс повышения квалификации по синтезаторостроению!!!
Книгу успел лишь полистать - да, действительно, учебник для таких новичков, как я. Всем начинающим - очень рекомендую!!!

Автор: Chenakin Mar 5 2011, 00:15

Цитата(Dr.Drew @ Feb 28 2011, 02:21) *
На первой страничке даны отрывочные сведения.

Всем спасибо за советы и комментарии! Отдаю на переработку, когда появится новый вариант - доложу.
-------------
Кстати, я увидел несколько соседних веток, где идёт обсуждение практически одних и тех же вопросов. Не будет ли целесобразным все эти общие синтезаторные проблемы перенести сюда (или в другую ветку), как, например, это сделал Юрий Матвеев с широкополосной ФАПЧ? (я не настаиваю, просто довольно редко посещаю форум и мне привычней сразу кликать в привычную тему; по идее, всем должно быть удобнее.) Аналогично, ветка “Кварцевые генераторы типа OCXO-F Pascall...” служит идеальным местом обсуждения вопросов, касающихся малошумящих генераторов.

Отвечу здесь же на некоторые комментарии из ветки "16-разрядный DDS до 1ГГц..." (если получится неудобно, то заранее извиняюсь, перейдём тогда обратно)

to RLOC:
Вопрос (по сути) - можно ли на самом деле сделать что-то типа QS - является больше риторическим. Можно не только сделать, но даже потрогать и погонять на SSA, результаты я Вам присылал. Замечу только, что получение гарантированной скорости перестройки в несколько микросекунд (т.е. единиц микросекунд) при использовании ФАПЧ, на мой взгляд, является задачей труднореализуемой (я всегда стараюсь избегать слова “не реальный”). Имеется ввиду, конечно, не сама петля, а весь синтезатор. Переубеждать в обратном не буду; по своему опыту знаю, что нужно самому попробовать, чтобы прийти к какому-то выводу.

to Dr. Drew:
Вы писали, что получили 30 микросекунд для 1-2 ГГц синтезатора. Вопрос: это время захвата однопетлевой ФАПЧ или полное время от момента посылки команды до подтверждения гарантированного перехода на новую частоту, т.е. с учётом времени приёма команды, расчёта всех внутренних частот (DDS и т.д.) по заданному алгоритму, программирования индивидуальных микросхем, захвата обоих петель, срабатывания системы подтверждения захвата (это, возможно, ещё одна петля) и т.д.? Негативного подтекста в вопросе нет, мне просто интересно, что имеется ввиду в случае дальнейшего обсуждения.

Автор: Dr.Drew Mar 5 2011, 02:55

Это время предустановки. Переход на основную петлю выполняется за 1-2 мкс. Остаётся только вопрос ко времени обработки и рассылки команд. Наверное, в 50 мкс можно уложиться.

 

Автор: Chenakin Mar 5 2011, 05:22

Цитата(Dr.Drew @ Mar 4 2011, 18:55) *
Это время предустановки. Переход на основную петлю выполняется за 1-2 мкс. Остаётся только вопрос ко времени обработки и рассылки команд. Наверное, в 50 мкс можно уложиться.

Всё понятно. В ещё 50 - да, в полностью 50 (т.е. ещё 20) – ну, наверное, тяжеловато будет.

Кстати, у Вас нет картинки с лучшим разрешением по вертикали? (хотелось бы посмотреть, что будет при погрешности установки +/- 50 кГц - это я, обычно, использую в расчётах, с разренением 100 МГц/дел. разглядеть что-либо сложно).

Автор: Dr.Drew Mar 5 2011, 06:18

Нет такой картинки и померить сейчас вряд ли смогу - макет немного разобран.

Что-то не могу найти сообщение со схемой регенеративного делителя с умножителем частоты в обратной связи. Вопрос такой, есть ли практические реализации делителей с коэффициентом более 2? Кроме той, где делитель заводится на двух сопряжённых модах. У меня такое чувство, что такой агрегат не заведётся в мягком режиме. Для работы умножителя нужна большая мощность, а получить её можно будет, предварительно разогнав петлю внешним сигналом.

Автор: rloc Mar 5 2011, 13:05

Цитата(Chenakin @ Mar 5 2011, 03:15) *
Замечу только, что получение гарантированной скорости перестройки в несколько микросекунд (т.е. единиц микросекунд) при использовании ФАПЧ, на мой взгляд, является задачей труднореализуемой.

Темой синтезаторов занимаюсь пока только из личного интереса, труднореализуемость подогревает интерес. Ничего не могу поделать с собой, хочется своими глазами увидеть, благо все необходимое для этого есть.
Предлагаю в дальнейшем не учитывать время программирования микросхем в общем времени перестройки, в крайнем случае его можно сделать фиксированным и в дальнейшем просто помнить об этом. Можно постараться избежать использования SPI-программируемых микросхем и применять только программируемую логику с предварительно рассчитанными таблицами частот.
В решаемых мною задачах есть периодические промежутки времени, когда можно успеть провести калибровку (измерить зависимость частоты ГУНа от управляющего напряжения), поэтому буду пробовать быструю перестройку ЦАПом с одновременной коррекцией параметров интегрирующей цепочки. Интересно, с учетом периодической калибровки, можно отказаться от вспомогательной петли?

Автор: YIG Mar 5 2011, 21:31

Цитата(rloc @ Mar 5 2011, 16:05) *
Предлагаю в дальнейшем не учитывать время программирования микросхем в общем времени перестройки, в крайнем случае его можно сделать фиксированным и в дальнейшем просто помнить об этом. Можно постараться избежать использования SPI-программируемых микросхем и применять только программируемую логику с предварительно рассчитанными таблицами частот.

А "не учитывать время программирования микросхем в общем времени перестройки" или "сделать фиксированным и в дальнейшем просто помнить об этом" теперь мало где, кроме "Перегринов" (да и у них не всегда гладно sad.gif ), получится. Кроме перезаписи коэффициентов деления иногда требуется, а иногда нет, дополнительная коррекция других режимов работы микросхем ФАПЧ. При этом скорость SPI ограничена, поэтому время перестройки получает дополнительную вариацию, которая в т.ч. определяется управляющим "железом". Немаловажно, что стоИт между внешним интерфейсом ПК или другого управляющегоустройства и микросхемой ФАПЧ: ПЛИС, микроконтроллер, микроЭВМ или кабель. Причём, последнее далеко не всегда айс на примере LPT!
ЗЫ: Часто ощущаю паритет в общении с радиоинженерами, так как в совершенстве владею цифрой! rolleyes.gif

Автор: Dr.Drew Mar 6 2011, 04:03

Цитата(rloc @ Mar 5 2011, 17:05) *
В решаемых мною задачах есть периодические промежутки времени, когда можно успеть провести калибровку (измерить зависимость частоты ГУНа от управляющего напряжения), поэтому буду пробовать быструю перестройку ЦАПом с одновременной коррекцией параметров интегрирующей цепочки. Интересно, с учетом периодической калибровки, можно отказаться от вспомогательной петли?


Считать надо. Зависит от условий работы, ГУН, полосы фильтра ПЧ. И калибровать чем-то надо - АЦП ставить. Хотя в этом случае от периодической калибровки можно отказаться. Выставили ЦАПом частоту, переключили на ФАПЧ, измерили напряжение АЦП и вот вам новый коэффициентик для ЦАП.

Автор: Chenakin Mar 6 2011, 23:28

Цитата(rloc @ Mar 5 2011, 05:05) *
Предлагаю в дальнейшем не учитывать время программирования микросхем в общем времени перестройки, в крайнем случае его можно сделать фиксированным и в дальнейшем просто помнить об этом.

Можно и так. Тогда давайте называть это временем захвата ФАПЧ, чтобы не было разночтений.

Цитата(rloc @ Mar 5 2011, 05:05) *
В решаемых мною задачах есть периодические промежутки времени, когда можно успеть провести калибровку (измерить зависимость частоты ГУНа от управляющего напряжения), поэтому буду пробовать быструю перестройку ЦАПом с одновременной коррекцией параметров интегрирующей цепочки. Интересно, с учетом периодической калибровки, можно отказаться от вспомогательной петли?

В принципе, можно. Но! Сразу оцените, с чем придётся иметь дело. Возьмите, к примеру, HMC505LP4 VCO от Hittite. Сделайте zoom-in в районе 4 В tuning voltage (http://www.hittite.com/content/documents/data_sheet/hmc505lp4.pdf -- 2 стр. вверху справа). Вы увидите, что Ваша схема построения должна поддерживать работу с температурной погрешностью установки VCO примерно 100 МГц (порядок величин). Или нужно искать (или самому делать) более качественные VCO (которые используются в DTO - у нас этим занимается целый отдел).

Цитата(YIG @ Mar 5 2011, 13:31) *
А "не учитывать время программирования микросхем в общем времени перестройки" или "сделать фиксированным и в дальнейшем просто помнить об этом" теперь мало где, кроме "Перегринов" (да и у них не всегда гладно sad.gif ), получится. Кроме перезаписи коэффициентов деления иногда требуется, а иногда нет, дополнительная коррекция других режимов работы микросхем ФАПЧ. При этом скорость SPI ограничена, поэтому время перестройки получает дополнительную вариацию, которая в т.ч. определяется управляющим "железом".

Да, это точно. Для широкополосной ФАПЧ придётся “на лету” подстраивать её параметры. Если речь идёт о 0-10 ГГц, то ещё надо будет переключать выходной делитель и его коэффициент деления, возможно (если делать что-то стоящее), придётся корректировать амплитуду выходного сигнала, переключать фильтры, чтобы давить гармоники и т.п. – только копни глубже. Заманчиво, конечно, отказаться от внутр. SPI (а иногда и просто необходимо), но вот, как подумаешь, сколько нужно линий управления, а каждая линия – это потенциальный разносчик спуров... В общем, тонкости, как всегда, в деталях. А пока давайте согласимся с Rloc отложить все эти “второстепенные” вопросы по контролю, чтобы уж совсем не отбивать охоту заниматься синтезаторами.

Кстати, отдельный (чисто RF-ный, но не такой уж и простой) вопрос всем для разминки на досуге – а что использовать для гарантированного подтверждения захвата и удержания частоты всей системы?

Автор: YIG Mar 7 2011, 02:05

Цитата(Chenakin @ Mar 7 2011, 02:28) *
В общем, тонкости, как всегда, в деталях. А пока давайте согласимся с Rloc отложить все эти “второстепенные” вопросы по контролю, чтобы уж совсем не отбивать охоту заниматься синтезаторами.

Согласен, кроме определения “второстепенные” wink.gif Создавал уже темы по управлению в других ветках, только khach понял и поддержал!
Цитата
Кстати, отдельный (чисто RF-ный, но не такой уж и простой) вопрос всем для разминки на досуге – а что использовать для гарантированного подтверждения захвата и удержания частоты всей системы?

По моей новой концепции - это сбор всех LD + сигнал на выходе ЖИГ-фильтра дабы избежать ложного захвата. В быстрых системах всё заметно сложнее... wink.gif
Кстати, сделал своего рода LD для ЖИГ-фильтра, дополнив источник тока (ИТ) точным АЦП, код которого сравнивается с кодом ЦАП. Хорошая диагностика при обрыве, КЗ, ошибке или возбуждении ИТ. Очень сильно помог при отладке вдали от VNA! rolleyes.gif

Автор: khach Mar 7 2011, 10:01

Цитата(Chenakin @ Mar 7 2011, 02:28) *
Кстати, отдельный (чисто RF-ный, но не такой уж и простой) вопрос всем для разминки на досуге – а что использовать для гарантированного подтверждения захвата и удержания частоты всей системы?

При какой блок-схеме синтезатора? На моих макетах была двойная петля- поиск и предустановка осуществлялся петлей с перскалером (прямое деление), ддс в опоре. После захвата этой петли переключение на петлю на строб-смесителе. При этом первая петля оставалась настроенной на ту же частоту, но разомкнутой. АЦП процессора оцифровывал разность управляющих напряжений первой и второй петли. Там конечно есть оофсет из-за разбега фаз в петлях, но вообще напряжение достаточно стабильно. За разностью этих же напряжений следил компаратор- при срыве захвата он генерировал прерывание и процесс настройки петель начинался по-новой.
Да и вообще следить с помощью АЦП за управляющим напряжением-дело полезное. Можно фурье стразу прокрутить (современные процессоры это позволяют) и наблюдать спур опры, приползший в полосу петли. А срыв определять с помощью разницы напряжения управления и его предидущего значения (хранить с помощью низкочастотного УВХ).
Зы. первая фапч еще пытается управлять следящим фильтром для подавления гармоник, но пока этот узел работает плохо.
У меня вопрос по синтезаторам с переключаемыми параметрами петель. Как бороться с переходными процессами в момент изменения постоянных времени петель? Уж очень нехочется терять захват в этот момент.

Автор: Dr.Drew Mar 7 2011, 13:15

YIG, индикаторы захвата разными бывают. Для простеньких ФАПЧ можно и цифровым обойтись. Но он иногда "обманывает", когда переходный процесс затягивается: покажет захват, а потом сбросит и так несколько раз. Аналоговый в этом смысле лучше - можно понастраивать и срабатывает быстрее в скоростных ФАПЧ. Правда, нужен ещё компаратор. В системе, захват вычислется логичкеским перемножением, но в некоторых ситуациях нужны исключения.

khach, не понимаю излишества с АЦП. Помимо этого Вы используете и встроенный мндикатор, переключаясь с предустановки. Если сорвалась петля, то захват тоже "упадёт". А постоянно цифровать ради этого напряжение управления...? Ну допустим, можно вычислить сильные помехи. Как с ними бороться?

Про регенеративный делитель есть у кого мысли?

ЗЫ. Хотел 23 числа, но руки не дошли...сейчас, думаю, тоже пойдёт...
bb-offtopic.gif

Стреляют пушки, пулеметы,
Ракеты с бомбами летают,
А в небе храбрые пилоты
Друг друга мастерски сбивают.

Пылает пламя, рвутся мины,
Лежат повсюду трупов горы,
И танки смертоносным клином
Сминают мирные заборы...

А полководец, взявши ластик,
Склонился над военной картой.
Вот это, понимаю, - праздник!
Не то, что,б..ь, Восьмое Марта!

Автор: YIG Mar 7 2011, 14:17

Цитата(Dr.Drew @ Mar 7 2011, 16:15) *
YIG, индикаторы захвата разными бывают. Для простеньких ФАПЧ можно и цифровым обойтись. Но он иногда "обманывает", когда переходный процесс затягивается: покажет захват, а потом сбросит и так несколько раз. Аналоговый в этом смысле лучше - можно понастраивать и срабатывает быстрее в скоростных ФАПЧ. Правда, нужен ещё компаратор. В системе, захват вычислется логичкеским перемножением, но в некоторых ситуациях нужны исключения.

В простых одноконтурных ФАПЧ мы используем LD чаще всего лишь для измерения времени перестройки, реже - для диагностики и самодиагностики. Кстати, у HMC700 LD работает очень хорошо, но я всё равно его фильтрую с помощью ПЛИС.
Но я имел в виду многоконтурные ФАПЧ, которых пока ещё не делал и врядли успею сделать. Почти 100%, что это будет QS+ЖИГ-фильтр, поэтому ориентируюсь на сигнал на выходе YTF.
Цитата
Про регенеративный делитель есть у кого мысли?

Есть мысли о том, что Нижний Новгород наряду с Железнодорожным тоже осваивает эту технологию.
Так что спешите, а то оторвутся. Вряд ли стоит сейчас искать что-либо лучше того, что Вы уже нашли!
Цитата
ЗЫ. Хотел 23 числа, но руки не дошли...сейчас, думаю, тоже пойдёт...
bb-offtopic.gif

bb-offtopic.gif
Если есть охота пофлудить - вэлкам ту bb-offtopic.gif этого форума. Мне там очень нравится поболтать на отвлечённые темы! rolleyes.gif
bb-offtopic.gif

Автор: Dr.Drew Mar 7 2011, 15:37

Да там осваивать-то нечего. Смеситель, усилитель и фильтр. Как завести в мягком режиме на N>2? Сдаётся мне, что на практике такое нереализуемо.

bb-offtopic.gif
В своё время нафлудился на другом форуме из другой области техники. Понял, что это занятие бесполезное, хоть и весёлое (иногда наоборот). Иногда проскакивают грязные мыслишки, но только по поводу и не более...

Автор: rloc Mar 7 2011, 17:16

Цитата(Chenakin @ Mar 7 2011, 02:28) *
Вы увидите, что Ваша схема построения должна поддерживать работу с температурной погрешностью установки VCO примерно 100 МГц (порядок величин).

Говоря о калибровке, как всегда не до конца сказал об исходных данных: каждые 4с есть в распоряжении 100-200 мс на измерение. За это время можно с хорошей точностью провести измерения во всем диапазоне ГУНа и занести их в таблицу. Установка аппаратуры предполагается в закрытом помещении, быстрые колебания температуры исключаются.
Вспоминая Ваши картинки по QS, невольно напрашивается вопрос: с чем связано столь долгое установление частоты, составляющее около 140мкс, в промежутке времени между 50кГц и 10Гц точностью на графике SSA? Ширина петли вроде не меньше 1МГц, неужели из-за высокого Margin = 60 град? Сейчас под рукой нет своих расчетов, не могу проверить.
Посмотрел на DTO - очень интересные ГУНы, жаль нет аналогового управления.
И еще один попутный вопрос: как SSA измеряет частоту? Есть свои предположения, но пока промолчу.

Цитата(Chenakin @ Mar 7 2011, 02:28) *
Кстати, отдельный (чисто RF-ный, но не такой уж и простой) вопрос всем для разминки на досуге – а что использовать для гарантированного подтверждения захвата и удержания частоты всей системы?

Можно счетчиком считать.

Цитата(khach @ Mar 7 2011, 13:01) *
Да и вообще следить с помощью АЦП за управляющим напряжением-дело полезное. Можно фурье стразу прокрутить (современные процессоры это позволяют) и наблюдать спур опры, приползший в полосу петли. А срыв определять с помощью разницы напряжения управления и его предидущего значения (хранить с помощью низкочастотного УВХ).

Как человеку 10 лет занимающимся широкополосным аналого-цифровым преобразованием и фильтрацией, мне эта идея очень нравится. Со спурами конечно не понятно что делать, а вот качественно и количественно оценить фазовые шумы и подкрутить интегрирующую цепочку думаю можно.

Цитата(khach @ Mar 7 2011, 13:01) *
У меня вопрос по синтезаторам с переключаемыми параметрами петель. Как бороться с переходными процессами в момент изменения постоянных времени петель? Уж очень нехочется терять захват в этот момент.

В самом простом случае, когда нет усилителя, на весь банк переключаемых конденсаторов можно подавать напряжение, повторяющее напряжение петли (буферный усилитель нужно подыскать с очень малым смещением нуля). Кстати и по этой причине думал ввести грубую настройку ЦАПом ГУНа (разбить на поддиапазоны) и отказаться от усилителя.

Вопрос ко всем: что можно сейчас использовать в качестве Sample Detector? Вижу фирма Skyworks Solutions прекратила выпуск своих детекторов.

Автор: ledum Mar 7 2011, 18:45

Цитата(Dr.Drew @ Mar 5 2011, 08:18) *
Что-то не могу найти сообщение со схемой регенеративного делителя с умножителем частоты в обратной связи.

Вопрос немного непонятен. Я сейчас в 30 км от Курской области и далеко от своих подборок пдф-ок. Через пару дней вернусь - пока что вспомнилось
http://electronix.ru/redirect.php?http://tf.nist.gov/general/pdf/1800.pdf
http://electronix.ru/redirect.php?http://www.thegleam.com/ke5fx/regen/1208.pdf
http://electronix.ru/redirect.php?http://tf.nist.gov/general/pdf/1890.pdf
Ну и на страничке Энрико Рубиолы http://electronix.ru/redirect.php?http://www.femto-st.fr/~rubiola/ есть возможность скачать E. Rubiola, M. Olivier, J. Groslambert, Phase noise in the regenerative frequency dividers (PDF, 670 kB) - вообще страничка может хорошо попортить 8-е Марта вашим всем подругам - скачивать можно и нужно ИМХО все подряд. Книжку Phase noise and frequency stability in oscillators тоже - она есть для скачки где-то в районе Мегааплоуда.
To rloc
Цитата
Вопрос ко всем: что можно сейчас использовать в качестве Sample Detector? Вижу фирма Skyworks Solutions прекратила выпуск своих детекторов.

Точно недавно натыкался на кого-то из брендов уровня Целеритека или Мимикса, но не они. Доберусь до работы поищу - точно помню скачивал селекшин гайд на этот год, там был.

Автор: Dr.Drew Mar 9 2011, 02:10

Цитата(rloc @ Mar 7 2011, 20:16) *
Вопрос ко всем: что можно сейчас использовать в качестве Sample Detector? Вижу фирма Skyworks Solutions прекратила выпуск своих детекторов.


Aeroflex/Metelics MSPD-xxxx

Автор: ledum Mar 9 2011, 07:33

Цитата(Dr.Drew @ Mar 9 2011, 04:10) *
Aeroflex/Metelics MSPD-xxxx

Вот-вот, они самые. Склероз. Картинка из селекшин гайда

 

Автор: khach Mar 9 2011, 09:33

А может тогда подскажите доставаемые отдельные SRD диоды или сборки SRD плюс смеситель, у которых можно впихнуть между SRD и смесителем свою собственную цепь? Для одного экзотического проекта понадобилось задавить некоторые гармоники в спектре после SRD фильтром.

Автор: ledum Mar 9 2011, 09:49

Цитата(khach @ Mar 9 2011, 11:33) *
А может тогда подскажите доставаемые отдельные SRD диоды

В том же каталоге http://electronix.ru/redirect.php?http://www.aeroflex.com/AMS/metelics/pdfiles/AM_Catalog_06-08.pdf на 28 и 29 страницах каталога (не пдф-ки!), например, были SRD. 12 мегабайт файл.
А вот с доставаемостью - Вам там виднее.
Еще может у Вас есть доступ к Макомам http://electronix.ru/redirect.php?http://macomtech.com/Varactor%20Multiplier%20Diodes типа http://electronix.ru/redirect.php?http://www.datasheetcatalog.org/datasheet/macom/MA44621B.pdf
или Mpulse Microwave http://electronix.ru/redirect.php?http://www.mpulsemw.com/SRD_Diode.htm
или Advanced Semiconductor http://electronix.ru/redirect.php?http://www.advancedsemiconductor.com/diodes/step_recovery.shtml

Автор: Chenakin Mar 13 2011, 02:35

По поводу подтверждения захвата. Два момента:

1. В случае введения смесителя(лей) в петлю ФАПЧ и/или преобразования на гармониках ”простейший” lock detect (используемый в распространенных PLL-микросхемах), обычно, не может гарантировать правильную работу синтезатора, т.к. он не может распознать на какой гармонике происходит захват. Рассчитывать на авось (типа, а подвели в правильную точку, а там основная петля уже дело сделает и захват сама выдаст) представляется не совсем правильным. Таким образом, требуется усложнение схемы (тут варианты могут быть самые разные), чтобы подтвердить не только захват как таковой, но и захват на правильной частоте.

2. Время работы цепи подтверждения захвата входит в общее время перестройки синтезатора, т.к. выдаёт сигнал готовности.

Вот в этом собственно и был вопрос – а сколько ещё микросекунд надо добавить, чтобы разобраться только лишь с RF-частью (доберёмся ещё и до контроля, попьют кровушки эти микросекунды ещё, ой попьют!). Вот, что вырисовывается по ответам:

Цитата(YIG @ Mar 6 2011, 18:05) *
По моей новой концепции - это сбор всех LD + сигнал на выходе ЖИГ-фильтра дабы избежать ложного захвата. В быстрых системах всё заметно сложнее... wink.gif

Принимается. Правда, придётся учитывать время перестройки ЖИГ-фильтра в общем времени перестройки синтезатора, что возможно только лишь для низкоскоростных схем. Вы это справедливо отметили.

Цитата(khach @ Mar 7 2011, 02:01) *
На моих макетах была двойная петля- поиск и предустановка осуществлялся петлей с перскалером (прямое деление), ддс в опоре. После захвата этой петли переключение на петлю на строб-смесителе. При этом первая петля оставалась настроенной на ту же частоту, но разомкнутой. АЦП процессора оцифровывал разность управляющих напряжений первой и второй петли. Там конечно есть оофсет из-за разбега фаз в петлях, но вообще напряжение достаточно стабильно. За разностью этих же напряжений следил компаратор- при срыве захвата он генерировал прерывание и процесс настройки петель начинался по-новой.

Интересная мысль! Я почему-то всегда считал, что после разрыва первой петли там с фазой может быть всё, что угодно – и 0 град., и 90 град., и, вообще, может плавать (особенно если частота высокая). Т.е. полагаться, как-то, на разомкнутую петлю... ну, в общем, сомнения остаются. Критиковать не буду, надо самому сначала попробовать.

Цитата(rloc @ Mar 7 2011, 09:16) *
Можно счетчиком считать.

Это будет, пожалуй, наиболее точный метод. Вопрос: сколько времени займёт подсчёт с нужной точностью?

--------------------------------
Другие проблемы:

Цитата(rloc @ Mar 7 2011, 09:16) *
Вспоминая Ваши картинки по QS, невольно напрашивается вопрос: с чем связано столь долгое установление частоты, составляющее около 140мкс, в промежутке времени между 50кГц и 10Гц точностью на графике SSA? Ширина петли вроде не меньше 1МГц, неужели из-за высокого Margin = 60 град? Сейчас под рукой нет своих расчетов, не могу проверить.

Как известно, аппетит приходит во время еды sm.gif . У меня есть вопросы к точности измерения частоты с указанной погрешностью (что я Вам и говорил с самого начала). Но так как требования (Ваши, начальные, Вы помните-?) выполнялись с огромнейшим запасом, то в детали я не вникал. Посмотрю позже, когда будет время.


Цитата(rloc @ Mar 7 2011, 09:16) *
И еще один попутный вопрос: как SSA измеряет частоту? Есть свои предположения, но пока промолчу.

Дальше того, что они оцифровывают ПЧ и запускают FFT, честно говоря, я как-то и не задумывался. Чего же молчать? Давайте Ваши предположения - обсудим.

Цитата(Dr.Drew @ Mar 4 2011, 22:18) *
Что-то не могу найти сообщение со схемой регенеративного делителя с умножителем частоты в обратной связи.

Ещё можно посмотреть здесь: E.S. Frerre-Pikal and F. L. Walls, ”Low PM Noise Regenerative Dividers,” 1997 IEEE Freq. Control Symp, pp. 478-484. Кстати, применение ”обычного” умножителя совсем не обязательно, это лишь функциональный элемент блок-диаграммы. Из переписки Энрико Рубиоллы:
******
I worked on low-noise regenerative dividers long time ago.
See my home page http://electronix.ru/redirect.php?http://rubiola.org , click on "more journal articles"

22. E. Rubiola, M. Olivier, J. Groslambert, Phase noise in the
regenerative frequency dividers (PDF, 670 kB),
IEEE Transact. Instrum. Meas. vol.41 no.3 pp.353-360, June 1992. ©IEEE.

Notice that you can divide by 4 with a single divider,
using the 3rd harmonics internally generated by the double balanced
mixer.
Dividing 80 MHz, you feed a 20 MHz back to the mixer.
A 60 MHz signal is generated by the mixer.
80 MHz - 60 MHz = 20 MHz, here you go.
******

Цитата(YIG @ Mar 6 2011, 18:05) *
Согласен, кроме определения ”второстепенные” wink.gif Создавал уже темы по управлению в других ветках, только khach понял и поддержал!

Я бы очень даже Вас поддержал. Рассчитывать синтезатор без привязки к контролю – занятие чисто умозрительное и оторванное от реальности (поэтому я и взял слово ”второстепенные” в кавычки). Это тот случай, когда хвост виляет собакой. Иногда приходится значительно усложнять RF-часть, чтобы упростить контроль и тянуть меньше линий через всю плату.

Автор: rloc Mar 13 2011, 16:42

Цитата(Chenakin @ Mar 13 2011, 05:35) *
Цитата(rloc @ Mar 7 2011, 20:16) *

Можно счетчиком считать.

Это будет, пожалуй, наиболее точный метод. Вопрос: сколько времени займёт подсчёт с нужной точностью?

Все не так уж и страшно: допустим у нас основная петля 1 МГц, тогда необходимо чтобы счетчик посчитал с точностью не хуже ширины этой петли, а время на это достаточно около 1 мкс.

Следующие два вопроса я объединил в один.
Цитата(Chenakin @ Mar 13 2011, 05:35) *
Цитата(rloc @ Mar 7 2011, 20:16) *

с чем связано столь долгое установление частоты, составляющее около 140мкс, в промежутке времени между 50кГц и 10Гц точностью на графике SSA?

Посмотрю позже, когда будет время.

Цитата(Chenakin @ Mar 13 2011, 05:35) *
Цитата(rloc @ Mar 7 2011, 20:16) *

И еще один попутный вопрос: как SSA измеряет частоту? Есть свои предположения, но пока промолчу.

Дальше того, что они оцифровывают ПЧ и запускают FFT, честно говоря, я как-то и не задумывался. Чего же молчать? Давайте Ваши предположения - обсудим.

Думаете абсолютно правильно. Остается еще выяснить такой тонкий момент: какова длительность скользящего окна, в котором делается FFT?
Александр, пока Вы отсутствовали, у меня было время покопаться в литературе и отыскать алгоритм определения частоты:

Дополнительно к этой схеме, привожу справочные данные из руководства пользователя по разрешающей способности:
Код
Frequency Resolution
10 Hz
1 kHz
64 kHz

Т.е. всего 3 возможных варианта, правда для каких режимов (WB или NB) не сказано. Из этого можно сделать вывод, что длительность окна FFT составляет 100мс, 1мс и 15.6мкс соответственно.

Updated
Удалил воскресные вычисления по поводу ошибок определения времени установления по одномерному FFT, потому что это не совсем верный подход. Существует достаточно много различных многомерных корреляционно-фильтровых способов отображения частотно-временной зависимости, но все они, при неизвестном законе изменения частоты, обладают определенной временной и частотной неопределенностью. Какой из вариантов реализован в SSA, мне найти не удалось, но определенно можно сказать, что есть некоторая существенная погрешность при измерении времени установления.
Если честно, я предполагал, что SSA определяет частоту не через FFT, а просто дифференцирует абсолютное значение фазы, лучше конечно если при этом SSA и синтезатор будут синхронизированы по опоре 10 МГц. Не смею настаивать, но было бы очень интересно сравнить время установления, полученное с помощью аналогового фазового детектора, на один вход которого подается сигнал с QS с фиксированной частотой, на другой - с QS с переключающейся частотой.

Если есть желание обсудить мои требования по точности установления, то лучше в приватном общении.

Автор: Chenakin Mar 19 2011, 16:07

Цитата(rloc @ Mar 13 2011, 09:42) *
Не смею настаивать, но было бы очень интересно сравнить время установления, полученное с помощью аналогового фазового детектора, на один вход которого подается сигнал с QS с фиксированной частотой, на другой - с QS с переключающейся частотой.

Где-то примерно так мы и измеряем на производстве (ставить SSA на произв. линиях будет слишком дорого). Время перестройки получается чуть меньше (если сравнивать с SSA), что в некоторой степени коррелирует с Вашими рассуждениями. Проблема в том, что такие измерения годятся в качестве ответа – прошёл/не прошёл, а вот если нужно какое-то документальное подтверждение, то приходится использовать SSA.
В принципе, тут подход у нас такой же как и со спурами. Спецификация является весьма консервативной, выполняется с очень хорошим запасом. Прошёл – всё, вперёд. Часто спрашивают, а можно ли перестраивать чуть быстрее, особенно, если полный диапазон перестройки не нужен. В принципе, да – можно, но на Ваш страх и риск, мы же гарантируем только то, что заявлено в спецификации. Кстати, в это время входит и контроль, и подтверждение захвата.


Цитата(rloc @ Mar 13 2011, 09:42) *
Все не так уж и страшно: допустим у нас основная петля 1 МГц, тогда необходимо чтобы счетчик посчитал с точностью не хуже ширины этой петли, а время на это достаточно около 1 мкс.

Не уверен, что понял ход Ваших рассуждений. Возьмём, к примеру, сигнал на 10 ГГц, поделим прескэйлером до 100 МГц (или что-то типа того, чтобы удобно работать было) и далее подадим на счётчик. За 1 мкс счётчик насчитает 100 импульсов. Разреш. способность будет +/- 1 имп., то есть 1 МГц. Вы это имеете ввиду? Но тогда это будет разрешение на выходе прескэйлера, а на его входе (т.е. на нашей частоте 10 ГГц) это разрешение будет уже +/-100 МГц. Т.е. опять возвращаемся к нашим архитектурным и/или временным ограничениям. Возможно, я просто не понял, что Вы имели ввиду, тогда поправьте меня, пожалуйста.

-------------
Попутно вопрос, не посоветуете где лучше посмотреть параллельную RAM (т.е. на N-ое кол-во DATA-выходов, N – чем больше, тем лучше; адрес или последовательный или – что было бы намного лучше – тоже параллельный), работающую в сабмикросекундном диапазоне?

Автор: YIG Mar 19 2011, 16:10

Цитата(Chenakin @ Mar 19 2011, 19:07) *
В принципе, тут подход у нас такой же как и со спурами. Спецификация является весьма консервативной, выполняется с очень хорошим запасом. Прошёл – всё, вперёд. Часто спрашивают, а можно ли перестраивать чуть быстрее, особенно, если полный диапазон перестройки не нужен. В принципе, да – можно, но на Ваш страх и риск, мы же гарантируем только то, что заявлено в спецификации. Кстати, в это время входит и контроль, и подтверждение захвата.

Александр, а что если в быстрых применениях до 18 ГГц вместо ЖИГ- фильтров для LD использовать быстрые фильтры от Hittite?
Предварительно периодически юстируем их по гармоникам ГГ на ДНЗ, а затем в процессе свипирования сравниваем склоны при прохождения гармоник со склонами прохождения выходного сигнала, ответвлённого каскадами НО. Ещё одно применение таким BPF! rolleyes.gif

Автор: Chenakin Mar 19 2011, 16:18

Цитата(YIG @ Mar 19 2011, 09:10) *
Александр, а что если в быстрых применениях до 18 ГГц вместо ЖИГ- фильтров для LD использовать быстрые фильтры от Hittite?
Предварительно юстируем их по гармоникам ГГ на ДНЗ, а затем сравниваем склоны прохождения гармоник со склонами прохождения выходного сигнала, ответвлённого каскадами НО.

Ну, там на 18 ГГц полоса будет под ГГц или ещй шире. Или я ошибаюсь? Работать на склонах, боюсь, не получится из-за плохой повторяемости / темп. разброса.

Автор: rloc Mar 19 2011, 17:31

Цитата(Chenakin @ Mar 19 2011, 19:07) *
Не уверен, что понял ход Ваших рассуждений. Возьмём, к примеру, сигнал на 10 ГГц, поделим прескэйлером до 100 МГц (или что-то типа того, чтобы удобно работать было) и далее подадим на счётчик. За 1 мкс счётчик насчитает 100 импульсов. Разреш. способность будет +/- 1 имп., то есть 1 МГц. Вы это имеете ввиду? Но тогда это будет разрешение на выходе прескэйлера, а на его входе (т.е. на нашей частоте 10 ГГц) это разрешение будет уже +/-100 МГц. Т.е. опять возвращаемся к нашим архитектурным и/или временным ограничениям. Возможно, я просто не понял, что Вы имели ввиду, тогда поправьте меня, пожалуйста.

Я имел в виду по схеме: счетчик + TDC (Time-to-Didital Converter). На современных FPGA счетчик можно сделать с частотой счета до 1 ГГц, а TDC доведет точность измерение временного интервала (периода) до 10 пс. Есть вариант реализовать вспомогательную петлю на TDC, как например делается в ADPLL, что по предварительным данным несколько упростит процесс переключения на основную петлю.

Автор: YIG Mar 19 2011, 18:05

Цитата(Chenakin @ Mar 19 2011, 19:18) *
Ну, там на 18 ГГц полоса будет под ГГц или ещй шире. Или я ошибаюсь? Работать на сконах, боюсь, не получится из-за плохой повторяемости / темп. разброса.

А я таки хотел бы настоять на своей мысли... Нет, передумал! Сегодня (20 марта) просчитал этот вариант - чепуха получается.

Автор: Chenakin Mar 20 2011, 16:11

Цитата(rloc @ Mar 19 2011, 10:31) *
Я имел в виду по схеме: счетчик + TDC (Time-to-Didital Converter). На современных FPGA счетчик можно сделать с частотой счета до 1 ГГц, а TDC доведет точность измерение временного интервала (периода) до 10 пс.

Понял, принимается. Но не сложновато ли выйдет в итоге?

Цитата(YIG @ Mar 19 2011, 11:05) *
А я таки хотел бы настоять на своей мысли... Вот почему:

Сильно спорить не буду. Замечу только, что решение может получиться довольно сложным. Для реализации Вам нужен отдельный ГГ (его ещё сделать надо, и с каким шагом, чтобы поддержать нужную точность юстировки?). Далее, полоса фильтра Hittite превышает несколько ГГц, т.е. скорее всего, несколько гармоник будут попадать в полосу пропускания и забивать нужный Вам юстировочный сигнал. Таким образом, придётся фильтровать гармоники, чтобы оставить одну для калибровки. Вопрос - чем? ЖИГом? Тогда смысл в фильтре Hittite отпадает сам по себе. С помощью ФАПЧ? Тогда, по сути, у Вас вырисовывается ещё один синтезатор (только с грубым шагом), а потенциальные проблемы со склонами по-прежнему останутся. Можно дальше шлифовать детали, но так, на вскидку, получается сложновато. Мне больше пришлось по душе Ваша первая идея с ЖИГом (раз уж он совершенно необходим для фильтрации) – не эстетично, зато надёжно и практично. А вот это уже моё железное требование – работать всё должно с запасом и при любых раскладах.

P.S. Пока писал ответ, увидел, что Вы сняли Ваш алгоритм с повестки дня, но ответ решил оставить (вдруг опять передумаете? sm.gif ). Кстати, ЖИГи, которые Вы используете, покупные или сами освоили?

Автор: YIG Mar 20 2011, 16:21

Цитата(Chenakin @ Mar 20 2011, 19:11) *
P.S. Пока писал ответ, увидел, что Вы сняли Ваш алгоритм с повестки дня, но ответ решил оставить (вдруг опять передумаете? sm.gif ). Кстати, ЖИГи, которые Вы используете, покупные или сами освоили?

Нет, мне такое "не по зубам" ни по квалификации, ни по времени. Мы используем ЖИГ-фильтры от MicroLambda и Кварца (Нижний Новгород).

Автор: rloc Mar 20 2011, 16:57

Цитата(Chenakin @ Mar 20 2011, 19:11) *
Но не сложновато ли выйдет в итоге?

Сложно если с нуля начинать, за сверх параметрами не гонюсь, за исключением времени перестройки. С моей точки зрения куда сложнее сделать двухкольцевую схему, чем лишний алгоритм для FPGA написать. Схему TDC уже пробовал, выходит достаточно точно, стабильно по температуре и быстро, даже быстрее чем ожидал.
Не слышали о генераторе HMC-T1000A? Гарантируют 10 мкс время переключения до точности в 10 гр.

Автор: Chenakin Mar 20 2011, 17:33

Цитата(rloc @ Mar 20 2011, 09:57) *
Не слышали о генераторе HMC-T1000A? Гарантируют 10 мкс время переключения до точности в 10 гр.

Ну, почему же? Слышал. И не только об этом. Вы б только представили, о чём тут вообще можно услышать sm.gif . Раз зашла речь, не подскажите, почему там теперь стоит вот такой официальный комментарий:

DISCONTINUED PRODUCT. Not Recommended for New Designs

Кстати, если цена роли не играет, то можно найти и куда более скоростные синтезаторы на основе DA.

Автор: rloc Mar 20 2011, 17:39

Цитата(Chenakin @ Mar 20 2011, 20:33) *
Кстати, если цена роли не играет, то можно найти и куда более скоростные синтезаторы на основе DA.

Цена очень важна, да и габариты тоже. Аббревиатура DA не знакома (это связано с прямым синтезом Direct, т.е. без ФАПЧ?). Мне недавно принесли брошюрку с отечественным синтезатором, построенном на огромном количестве ПАВов и таким же малым временем.

Автор: YIG Mar 20 2011, 17:48

Цитата(Chenakin @ Mar 20 2011, 20:33) *
Ну, почему же? Слышал. И не только об этом. Вы б только представили, о чём тут вообще можно услышать sm.gif . Раз зашла речь, не подскажите, почему там теперь стоит вот такой официальный комментарий:

DISCONTINUED PRODUCT. Not Recommended for New Designs

Я думаю, что они свернули их производство после того, как увидели QS! biggrin.gif Если серьёзно, то наверное, дороговатыми получились.
Мне их новые http://electronix.ru/redirect.php?http://www.hittite.com/products/index.html/category/354 очень понравились! Hittite орудуют в своём стиле: только появились на рынке ADC и сразу же на высшем уровне!

Автор: khach Mar 25 2011, 12:50

Транслитериловал насколько возможно. С другой стороны это просто информация для тех, кто купить никак не сумеет и ответ на первое сообщение темы. Пока мой бумажный экземпляр еще где-то путешествует, рассматриваю эту ссылку как evaluation copy :-)
Ветка конечно в чем то интереснее книги получилась, просто когда речь заходит от 110 дб на герц и выше, то я внимательно читаю и молчу- это пока ниже моего личного "уровня шумов".
С другой стороны есть практический вопрос по архитектуре синтезаторов в частности по применению SSB смесителей в тракте синтезатора.
Структура тракта примерно такая - ЖИГ 2-7ГГц, прескалер CND2047 , однополосный смеситель, ADF4110, фНЧ, драйвер катушек ЖИГа.

Первоначально в качестве однополосного смесителя планировался ADL5385 (он же и прескалер на 2).
Смесители типа ADL5385 (широкополосные векторные модуляторы) требуют квадартурного сигнала по входу модулирующего сигнала для работы в качестве SSB смесителя. Использовать два DDSa со сдвигом фаз напряжно по экономическим соображениям. Сейчас пытаюсь применить одинарный ДДС типа 9951 и широкополосный квадратурный сплиттер на пассивных элементах по мотивам Lumped Element Quadrature Hybrids by David Andrews. JSPQ-80 или другой подобный оказались дороговаты для бюджета и несовсем то что надо по диапазону. Пришлось делать самим.
При возне с наматыванием миниатюрных катушек выяснилось, что проще сделать сразу и SSb смеситель на диодах. Например по мотивам как на рисунке. Насколько примерно удасться выиграть по шумам при замене смесителя на пассивный?

 

Автор: ledum Mar 25 2011, 13:39

Не совсем понятно, что надо - плавная подставка к ЖИГ? Если не очень плавная, то мы сейчас прилепили TX DAC от девиц и имеем НЧ квадратуры. На тестировании поначалу просто синус гоняли, сегодня-завтра на сигналы переходим. На 8346 35дБ получилось по несучке и второй боковой. Отдельно несучку, отдельно боковую на этой чипе получалось на 45 и 40 давить соответственно. Синус - из кольцевого буфера.
Где-то здесь выкладывал модель формирующего полифайзера 6-го порядка на полосу 2-38 МГц в Оркаде. Вроде 50 дБ с 1% кондерами и 0.1% резисторами дает. Нашел пока только приемный http://electronix.ru/forum/index.php?showtopic=65584&view=findpost&p=628741 , но они отличаются только включением дифусилителей

Автор: khach Mar 26 2011, 12:10

Цитата(ledum @ Mar 25 2011, 16:39) *
Не совсем понятно, что надо - плавная подставка к ЖИГ?

Да, плавная подставка. Основное требование- малобюджетная (и такое бывает). Поэтому TXdac+FPGA отпадают. Как и 9958 для формирования квадратур. Остановились на 9951, соответственно частота подставки от 150МГц вниз, пока тянет 90 градусная цепь. RC полифазер сильно режет амплитуду- хотим двухкаскадный LC использовать. Вот только из-за изменения баланса 90 градусных цепей (их же две- одна модулирующая, и вторая- в составе однополосного смесителя) меняется подавление нежелательной боковой полосы в процессе перестройки синтезатора, Иногда это приводит к срыву процесса захвата петли. Вот и хотелось бы уточнить, в каких случаях хватает 10 дб подавления нежелательных составляющих.
Цитата
As a rule of thumb, all undesired signals in front of the divider should be kept −10
to −15 dB below the main tone to ensure proper operation.
- при захвате петли или в процессе поиска захвата? Как это правило меняется в зависимости от места расположения смесителя в петле (наличие прескалеров перед смесителем, наличие цифровых делителей между смесителем и ФД итд.) И практических решений по отладке такой петли (поиска участков возможной неустойчивости захвата), желательно силами самого синтезатора и его управляющего контроллера. Типа мониторинга управляющего напряжения ФНЧ при разомкнутой петле и перестройке ЖИГа с помощью ЦАП или интегратора. Решение с перекоммутацией усилителя управления в поисковый интегратор- это со времен, когда ЦАПы дороги были.
Ну и вообще хотелось бы обсудить процессы самодиагностики синтезатора- например контроль диапазона VCO используя мониторный выход микросхемы ФАПЧ в качестве частотометра. Или НЧ модуляция управляющего напряжения дополнительным НЧ генератором для определения запаса по фазе в петле.

Автор: Chenakin Apr 2 2011, 16:35

Часть сообщения удалена по просьбе автора. DS


Цитата(khach @ Mar 25 2011, 05:50) *
Использовать два DDSa со сдвигом фаз напряжно по экономическим соображениям.

Это было бы как из пушки по воробьям. Существует очень простое решение получения 90-градусного сдвига в широкой полосе частот при условии, что не нужно сохранять данные об амплитуде сигнала (т.е. как раз, что и нужно при построении синтезаторов). Сигнал с 0 и 180-градусным сдвигом (а прямой и инверсный выходы сейчас присутствуют чуть ли не в каждой IC) поступают на два отдельных делителя на 2 (что очень хорошо сочетается с различными схемами подавления спуров DDS, без которых использовать DDS в серьёзных устройствах просто бессмысленно). Сигналы на выходе делителей будут иметь 90-градусной сдвиг с очень хорошей точностью и в широкой полосе частот. Просто.

Автор: Dr.Drew Apr 11 2011, 02:20

http://electronix.ru/redirect.php?http://www.anapico.com/

Шустрый генератор выпускают с кучей фич и в маленьких габаритах.

Измеритель фазовых шумов у них интересный. Получше по чувствительности, чем Агилент.

Автор: YIG Apr 11 2011, 02:48

Цитата(Dr.Drew @ Apr 11 2011, 05:20) *
http://electronix.ru/redirect.php?http://www.anapico.com/

Интересно, а имеет ли эта контора какое-либо отношение к http://electronix.ru/redirect.php?http://www.picosecond.com/.
Продукты очень сильно отличаюся, а стиль оформления очень похож...
Китайская Швейцария - это жесть. Помню модули TracoPower из Швейцарии...

Автор: Dr.Drew Apr 11 2011, 05:41

Корпуса Schroff - по ручкам и способу крепления торцевых рамок видно (не заглядывая в каталог). Оформление бедновато, но, учитывая виртуальную направленность прибора, считается нормой. Как понимаю, над дизайном особо думать не стали - взяли ширпотреб.

Автор: Lonesome Wolf Apr 11 2011, 08:32

Цитата(Dr.Drew @ Apr 11 2011, 05:20) *
http://electronix.ru/redirect.php?http://www.anapico.com/

Шустрый генератор выпускают с кучей фич и в маленьких габаритах.

....


14 c лишним килотон Евров sm.gif
За APSYN20G

Автор: rloc Apr 11 2011, 17:00

Цитата(Dr.Drew @ Apr 11 2011, 06:20) *
Измеритель фазовых шумов у них интересный. Получше по чувствительности, чем Агилент.

Чувствительность приведена только для приемного тракта, о шумах его собственного опционального генератора на диапазон от 10МГц до 6200МГц ничего не сказано, а его внутренний опорный на 100МГц вообще какой-то ширпотребный.

Автор: Dr.Drew Apr 12 2011, 01:23

Вот жуки...а я поверил blush.gif

Автор: rloc Apr 12 2011, 09:38

Я тоже чуть было не поверил, но цифра в -140дБс при отстройке 1Гц на 1ГГц заставила призадуматься, такой цифры и на 10МГц частоте получить то нереально (исключаем криогенные температуры).

Цитата(rloc @ Apr 11 2011, 21:00) *
а его внутренний опорный на 100МГц вообще какой-то ширпотребный.

За ночь пришла мысль, что это не опорник, а приведенная частота, т.е. если к ее шумам добавить 20log, то получим шумы на любой другой частоте. Если это действительно так, то по чувствительности получается хуже Agilent. Даташит написан крайне невнятно, очень сложно разобраться во всех параметрах, приходится только догадываться. Этот прибор оправдает только низкая цена. Еще остается загадкой, каким образом реализован режим кросс-корреляции? Есть некоторые сомнения по правильности его реализации, чтобы это понять, нужна как минимум структурная схема.

Автор: YIG Jun 6 2011, 04:25

Может быть кому-то интересно, но Phase Matrix выпустили новую модель синтезатора, сегодня письмецо прислали, цитирую:

Цитата
QuickSynTM synthesizers

New Model -- FSW-0027 that covers 5 MHz to 26.5 GHz in the same footprint as the original 10 & 20 GHz QuickSyns!
Faster Tuning -- now as fast as 50 uSec
New Interfaces -- Ethernet, GPIB, RS-232 (in addition to existing SPI and USB) and built-in SCPI commands

Автор: synthesprom Jul 28 2011, 09:08

YIG Дата Jun 6 2011, 08:25
Может быть кому-то интересно, но Phase Matrix выпустили новую модель синтезатора, сегодня письмецо прислали...

Ещё бы они не выпустили новую модель синтезатора. И у holzworth тоже широкополосные портативные попёрли до 6 гиг, и я больше чем уверен, что и в офисе роуд ен шварца и в офисе эджилент давно начали разрабатывать портативные синтезаторы до 10, 20 и т.д. ГГц, либо уже разработали. За такими приборами будущее, это ясно как божий день. Естественно от больших дорогих кнопочных коробок сразу отказываться не будут, их ещё будут продавать и продавать, но зачем разработчику генератор роуд ен шварца до 3 ГГц за 450 тыс. руб, когда можно купить Ченакинский мега синтезатор до 10 ГГц за 300тыс. руб. с более высоким качеством сигнала.
В общем, лично мы именно по этим соображениям больше не будет делать за бюджетные деньги (а мы живем на небольшие гранты, а не на миллиардные инвестиции) синтезаторы в диапазоне до 100 МГц, а будем клепать тоже, что и все. Потому что надо делать всегда то же, что и все, иначе толку в этом нет. Вот сделали мы за год синтезатор от 4 до 100 МГц с гармониками во всём диапазоне -60 (это была основная цель), негармонческими -70, с выходным уровнем от -82 до +15 дБмВт, с фазовым шумом -138 на 100 Мгц при отстройке 10 кГц (не термостатированный опорник, плюс шум от импульсного источника питания), но он вряд ли кому-нибудь будет нужен. А зачем тогда малой инновационной компании делать синтезатор в непопулярном диапазоне? А затем, друзья, чтобы отчитаться за проделанную работу и выиграть очередной грант на более крутой синтезатор! Так и живём sm.gif Причём теперь мы работаем по советской системе "американская ракета - русская ракета", в результате через 9 месяцев у нас должен заработать синтезатор от 0 до 10 ГГц с параметрами получше, чем у FSW-0010. Вот его может и будут покупать. Единственное, чего нам не хватает - это соответствующие условия, а то кроме мозгов почти ничего и нет.

Автор: Sergey Beltchicov Jul 29 2011, 06:24

Цитата(synthesprom @ Jul 28 2011, 13:08) *
YIG Дата Jun 6 2011, 08:25
Может быть кому-то интересно, но Phase Matrix выпустили новую модель синтезатора, сегодня письмецо прислали...

Ещё бы они не выпустили новую модель синтезатора. И у holzworth тоже широкополосные портативные попёрли до 6 гиг, и я больше чем уверен, что и в офисе роуд ен шварца и в офисе эджилент давно начали разрабатывать портативные синтезаторы до 10, 20 и т.д. ГГц, либо уже разработали. За такими приборами будущее, это ясно как божий день. Естественно от больших дорогих кнопочных коробок сразу отказываться не будут, их ещё будут продавать и продавать, но зачем разработчику генератор роуд ен шварца до 3 ГГц за 450 тыс. руб, когда можно купить Ченакинский мега синтезатор до 10 ГГц за 300тыс. руб. с более высоким качеством сигнала.
В общем, лично мы именно по этим соображениям больше не будет делать за бюджетные деньги (а мы живем на небольшие гранты, а не на миллиардные инвестиции) синтезаторы в диапазоне до 100 МГц, а будем клепать тоже, что и все. Потому что надо делать всегда то же, что и все, иначе толку в этом нет. Вот сделали мы за год синтезатор от 4 до 100 МГц с гармониками во всём диапазоне -60 (это была основная цель), негармонческими -70, с выходным уровнем от -82 до +15 дБмВт, с фазовым шумом -138 на 100 Мгц при отстройке 10 кГц (не термостатированный опорник, плюс шум от импульсного источника питания), но он вряд ли кому-нибудь будет нужен. А зачем тогда малой инновационной компании делать синтезатор в непопулярном диапазоне? А затем, друзья, чтобы отчитаться за проделанную работу и выиграть очередной грант на более крутой синтезатор! Так и живём sm.gif Причём теперь мы работаем по советской системе "американская ракета - русская ракета", в результате через 9 месяцев у нас должен заработать синтезатор от 0 до 10 ГГц с параметрами получше, чем у FSW-0010. Вот его может и будут покупать. Единственное, чего нам не хватает - это соответствующие условия, а то кроме мозгов почти ничего и нет.


Помимо мозгов, в подобных задачах важен опыт. То, что вы привели для синтезатора 4...100 МГц, звучит достаточно отстойно... Поэтому через 9 месяцев у "инновационной компании" "синтезатор от 0 до 10 ГГц с параметрами получше, чем у FSW-0010", скорее всего не заработает. При сегодняших технологиях синтезатор существенно лучше, чем Квик (под "существенно" понимается порядок), можно сделать только а) используя прямой синтез б) используя сверхмалошумящие СВЧ опоры (10ГГц) типа OEO или SLCO. При этом, скорее всего, не удастся сделать Квик по габаритам. Делая что-то лучше, чем Квик, уже элементарно сталкиваешься с ограничениями high-end измериловки. Например, E5052A/B не берет на пяти гигах шум менее -140дБ/Гц@10кГц (см. вложенный график), приходится делать два генератора, смешивать их и т.д. и т.п. или пытаться купить шумомер от Oewaves...

 

Автор: synthesprom Jul 29 2011, 07:26

Sergey Beltchicov,

Во-первых, всё в этой жизни относительно sm.gif Шум в опытном образце -138 может и отстойный относительно нескольких синтезаторов в мире (при том что синтезаторы и генераторы делают около 25 компаний из 12 стран), но спектр гораздо лучше, и потом - мы прекрасно знаем, почему получился такой шум и как его сделать существенно лучше sm.gif
Во-вторых, делать синтезатор "на порядок" лучше Ченакинского никто не собирается, да и сам Ченакин если бы хотел, сделал. Просто мало сделать, надо ещё и продать, причём по-всему миру, что чтобы твою компанию выкупила какая-нибудь компания побольiе, типа national instruments. Поэтому всякие там дорогущие радарно-космические мега опорники вообще никому не нужны в настольном лабораторном приборе. Из него стрелять не нужно sm.gif В военной РЛС нужны, а в приборе нет.
В третьих, мы не занимаемся радиолюбительством sm.gif Мы профессионально разрабатываем синтезаторы на прямом цифровом синтезе. Мировая радиокомпонентная база - это огромный конструктор. Все синтезаторщики используют одни и те же элементы, только по разному. Поэтому можно получить хороший синтезатор на фапче, а можно и на DDS. Мы избрали путь DDS десять лет назад, и ничуть об этом не жалеем. Синтезатор до 100 МГц успешно подтвердил все наши расчёты, ожидания и научные теории. Мы теперь сами для себя прекрасно понимаем, что можем сделать синтезатор до 10 ГГц, который будет не просто железкой, а конкурентоспособным по цене и качеству продуктом. Поэтому за 9 месяцев мы его по-любому сделаем, это совсем не сложно. Главное - желание, время и деньги.

Автор: Sergey Beltchicov Jul 29 2011, 15:54

Цитата(synthesprom @ Jul 29 2011, 11:26) *
Sergey Beltchicov,

Во-первых, всё в этой жизни относительно sm.gif Шум в опытном образце -138 может и отстойный относительно нескольких синтезаторов в мире (при том что синтезаторы и генераторы делают около 25 компаний из 12 стран), но спектр гораздо лучше, и потом - мы прекрасно знаем, почему получился такой шум и как его сделать существенно лучше sm.gif
Во-вторых, делать синтезатор "на порядок" лучше Ченакинского никто не собирается, да и сам Ченакин если бы хотел, сделал. Просто мало сделать, надо ещё и продать, причём по-всему миру, что чтобы твою компанию выкупила какая-нибудь компания побольiе, типа national instruments. Поэтому всякие там дорогущие радарно-космические мега опорники вообще никому не нужны в настольном лабораторном приборе. Из него стрелять не нужно sm.gif В военной РЛС нужны, а в приборе нет.
В третьих, мы не занимаемся радиолюбительством sm.gif Мы профессионально разрабатываем синтезаторы на прямом цифровом синтезе. Мировая радиокомпонентная база - это огромный конструктор. Все синтезаторщики используют одни и те же элементы, только по разному. Поэтому можно получить хороший синтезатор на фапче, а можно и на DDS. Мы избрали путь DDS десять лет назад, и ничуть об этом не жалеем. Синтезатор до 100 МГц успешно подтвердил все наши расчёты, ожидания и научные теории. Мы теперь сами для себя прекрасно понимаем, что можем сделать синтезатор до 10 ГГц, который будет не просто железкой, а конкурентоспособным по цене и качеству продуктом. Поэтому за 9 месяцев мы его по-любому сделаем, это совсем не сложно. Главное - желание, время и деньги.


Вы же вроде сами сказали, что собираетесь сделать синтезатор, дескать, получше Квика. Идея делать получше понятна, и ее я разделяю. Я просто выразил свое мнение, что получше Квика у Вас вряд ли сходу получится (Квик выполнен практически на пределе возможностей традиционной схемотехники). А теперь вроде говорите, что лучше делать не будете... А делать хуже или повторять Квик в упор - какой в этом смысл? Все равно покупать будут Квик, Хольцворс или Хиттайт (тех, кто пришел раньше Вас) или придется падать вниз по цене. А ценовой демпинг - это поденщина, здесь такая же инновационность, как купить такси и встать на вокзале в длинную очередь тех, кто таксует. Да не забывайте: в России народ тоже не дремлет (вон поглядите на парней, например, из конторы Advantex.ru). У них как-то результаты повесомей. Кому нужны будут поделки под Квик, когда и так выбор девайсов с худшим качеством достаточно широк? И вообще как-то странно: десять лет опыта с DDS и на тебе, -138дБ/Гц на частоте 100 МГц. Хотя если без десятилетнего опыта к AD9912 прикрутить нормальную тактуху (1ГГц умножить от 100МГц), то сразу получится за -150... Закольцуй DDSом подходящий ГУН и вот тебе - нормальный спектр... У вас же SFDR получился не 110 дБ... Делать синтезаторы на DDS и синтезаторы до 10 ГГц - это немного разные вещи. Или Вы СВЧ DDS разработали? под клок 10-12ГГц?

Автор: YIG Jul 29 2011, 20:31

synthesprom, я понимаю Ваше желание раскрутить свой стартап в том числе и на нашем форуме, но содержимое Ваших постов никак не соответствует теме и несёт мало полезной информации. Если есть реальные достижения, плиз, ссылочки в студию, обсудим, похвалим и покритикуем. А то какой-то сумбур, на который не знаешь, что ответить то. Ни то в жилетку решили поплакаться, ни то похвастаться - не разбери-поймёшь laughing.gif

Цитата(synthesprom @ Jul 29 2011, 10:26) *
Поэтому всякие там дорогущие радарно-космические мега опорники вообще никому не нужны в настольном лабораторном приборе. Из него стрелять не нужно sm.gif В военной РЛС нужны, а в приборе нет.

Сдаётся мне, что с точностью до наоборот: в топовом приборе ультрачистые Гигаопорники актуальны, в обсерватории или космическом аппарате - тоже, а в военной технике - в большей части применений "в сто лет не впились" из-за жёстких условий эксплуатации. Там уже от ЖИГ-генераторов стараются уходить в сторону сверхмалошумящих кварцев и ГУНов. Такое впечатление, что Вы нарочно пытаетесь ввести читателей в заблуждение, но технические и эксплуатационные характеристики опор типа PSI ни для кого не секрет, они очень чувствительны к вибрации и работают в узком диапазоне температур, поэтому их область применения в военной технике весьма ограничена. Может "стрелять из неё" и можно, но не из танка или самолёта.
Цитата(synthesprom @ Jul 29 2011, 10:26) *
Мы профессионально разрабатываем синтезаторы на прямом цифровом синтезе. Мировая радиокомпонентная база - это огромный конструктор. Все синтезаторщики используют одни и те же элементы, только по разному. Поэтому можно получить хороший синтезатор на фапче, а можно и на DDS. Мы избрали путь DDS десять лет назад, и ничуть об этом не жалеем. Синтезатор до 100 МГц успешно подтвердил все наши расчёты, ожидания и научные теории. Мы теперь сами для себя прекрасно понимаем, что можем сделать синтезатор до 10 ГГц, который будет не просто железкой, а конкурентоспособным по цене и качеству продуктом. Поэтому за 9 месяцев мы его по-любому сделаем, это совсем не сложно. Главное - желание, время и деньги.

Такие скромные результаты Вы выдаёте за шедевр? Смешно! Вы угадали самое отстойное направление в СВЧ-синтезаторах, на одном DDS(ПЦС) Вы далеко не уедете. Спуры до -70 дБн - это как понимать? Фильтруй, не фильтруй - всё равно получишь спур! Вы уверены, что все эти спуры Вы сможете отфильтровать до и вовремя переноса частоты со 100 МГц на 10 ГГц (+40 дБ). А те, что были -90 дБн станут -50 дБн, и лишь те, что были -110 дБн станут -70 дБн, что тоже не есть предел вожделений...
Да, и ещё: исправьте, пожалуйста Вашу анкету, а то Вам там 3 года возраста насчитали. Трудно общаться с собеседником, не зная, хотя бы примерно, его возраста. laughing.gif

Автор: Chenakin Jul 30 2011, 02:53

Цитата(synthesprom @ Jul 29 2011, 00:26) *
Во-первых, всё в этой жизни относительно

Друзья, не стоит ссориться! Я так понимаю, что основная цель послания была привлечь внимание к данной компании и её разработкам. Я общаюсь со многими нашими людьми по самым различным вопросам, есть много интересных идей, а вот как их реально применить... тут, некоторые просто впадают в отчаяние. Ситуация типичная (к сожалению). Так что, Synthesprom, Вы попали по адресу, ”зацепили” сразу несколько здешних старожилов. Чего не хватает (по-моему) в Вашем послании - это объяснения, а что же собственно нового Вы предлагаете (по сравнению, например, с тем, что упоминал Сергей в отношении AD9912)? В чём изюминка? Я думаю, многим было бы интересно и, возможно, Вы бы нашли варианты какого-то дальнейшего сотрудничества прямо здесь на форуме. По поводу, Вашей новой разработки, то я думаю, все участники готовы пожелать Вам успеха (вполне искренне). Люди тут не простые (отсюда и вот такие острые комментарии), в каком-то плане конкурируют друг с другом, но хорошую работу ценить умеют. Будет интересно узнать о полученных результатах.

Цитата(synthesprom @ Jul 28 2011, 02:08) *
Потому что надо делать всегда то же, что и все, иначе толку в этом нет.

Хотел бы сделать одно замечание (по теме в целом, а не в плане какой-то там критики). Такая концепция догоняющего развития ущербна по своей сути. Сергей прав, нет смысла делать то, что уже есть – всё уже занято, да и конкуренты не сидят на месте. Если есть желание куда-то прорваться, то и надо делать что-то прорывное - именно что на порядки. Другой вопрос, а где этот прорыв может потом найти применение? А нужен ли он? Как сделать, что б вот так всё разом завертелось и всё вокруг заработало, и мозги было куда применить? Вопрос риторический...

Цитата(synthesprom @ Jul 28 2011, 02:08) *
А затем, друзья, чтобы отчитаться за проделанную работу и выиграть очередной грант на более крутой синтезатор!

А как/где сейчас выделяются такие гранты? Можно где-то посмотреть?

--------------------------------------------------------------------

Кстати, когда-то давно в этой теме обещал:
http://electronix.ru/redirect.php?http://www.phasematrix.com/Articles/4058E_MWRF_PHASE_ePrint.pdf?ArticleID=21653
В принципе, всё здесь уже давно обговорили, теперь вот, разве что, с картинками.

Автор: Dr.Drew Jul 30 2011, 08:36

Всем здрасьте! Вышел с отпуска. Ездил на неделю на Алтай. Думал, что много чего интересного появилось, но, как вижу, успел в самый разгар!

Цитата(Sergey Beltchicov @ Jul 29 2011, 18:54) *
(Квик выполнен практически на пределе возможностей традиционной схемотехники). А теперь вроде говорите, что лучше делать не будете...

Ну не на пределе я бы сказал. Тут надо бы учесть цену. Я вижу предел современной схемотехники на уровне минус 140 на 4 ГГц по шумам. Не говорю по управляемый генератор. Имеется ввиду применение скоростной цифры и кварца. Ну как в патенте, где ВЧ опора делается, а потом делится вниз и т.д. Или как в Вашем снтезаторе для АС. Если немого переиграть схему и отказаться от преобразования на гармониках, то дожать до этой цифры можно. Но это если не думать про массогабариты и цену. Тем более, редкая ширпотребная техника способна "съесть" шумы на уровне ниже минус 130 на 1 ГГц - связь и т.п. А рынок-то тут как раз нехилый. Умолкаю, чтобы не пойти по второму кругу.

Цитата(YIG @ Jul 29 2011, 23:31) *
Такие скромные результаты Вы выдаёте за шедевр? Смешно! Вы угадали самое отстойное направление в СВЧ-синтезаторах, на одном DDS(ПЦС) Вы далеко не уедете.

Не-а. Сейчас есть потребность в синтезаторах с микросекундными скоростями на десятках ГГц и минимальными габаритами. Без ДДС не обойдёшься. Тема хорошая. Краем уха слышал, что Аналоги до 5 гиг тянутся. Ну а пока встречаются ДДС до 20 ГГц с четырёхразрядным ядром. Ежели synthesprom этим занимается, то очень интересно будет глянуть.

Автор: khach Jul 30 2011, 10:56

Цитата(Sergey Beltchicov @ Jul 29 2011, 18:54) *
Или Вы СВЧ DDS разработали? под клок 10-12ГГц?

Мы не они, поэтому спрошу. А как народ относится к DDS на нитриде галлия, как раз с заявленными параметрами? Только не просите образцы, он пока в виде модели еще существует, пока технология а стадии разработки, да и с шумами ЦАП не все еще ясно.

Автор: ledum Jul 30 2011, 11:11

Подскажите задачку, где надо доли Герца за микросекунды на Гигах при кристальном спектре. Передатчик для Допплера в РЛС?
Сами давно ушли на оцифровку широкой полосы, поэтому шаг синтеза десятки мегаГерц - обычно четверть ширины зоны Найквиста - вполне достаточно, а потом - процесс гейн. Раньше была AD6624+АЦП, позже - AD6654, сейчас - только АЦП, весь DDC уже в Алтере. Т.е. генераторы переноса в ноль и смесители цифровые и почти нешумящие с шагом и временем перестройки, как у DDS .
Я так понял большинство современных анализаторов также работает - цифруют полосу 10-50МГц, проходя весь диапазон крупным шагом, дальше - ЦОС.

Автор: Dr.Drew Jul 30 2011, 12:50

Цитата(ledum @ Jul 30 2011, 14:11) *
Подскажите задачку, где надо доли Герца за микросекунды на Гигах при кристальном спектре. Передатчик для Допплера в РЛС?
Сами давно ушли на оцифровку широкой полосы, поэтому шаг синтеза десятки мегаГерц - обычно четверть ширины зоны Найквиста - вполне достаточно, а потом - процесс гейн. Раньше была AD6624+АЦП, позже - AD6654, сейчас - только АЦП, весь DDC уже в Алтере. Т.е. генераторы переноса в ноль и смесители цифровые и почти нешумящие с шагом и временем перестройки, как у DDS .
Я так понял большинство современных анализаторов также работает - цифруют полосу 10-50МГц, проходя весь диапазон крупным шагом, дальше - ЦОС.


Насчёт долей герц не знаю, но дополнительная манипуляция частотой для расширения спектра применяется. Кроме как дёрганьем частоты ДДС такое не решить.

khach, очень хорошо относимся. Главное, чтобы дёшево было.

Автор: rloc Jul 30 2011, 14:53

Цитата(ledum @ Jul 30 2011, 15:11) *
Подскажите задачку, где надо доли Герца за микросекунды на Гигах при кристальном спектре.

Думаю мелкий шаг возникает из-за невозможности получить равномерный шаг грубой перестройки (см. патент), а мелкость определяется только тем, чтобы удовлетворить любым требованиям заказчика по точности установки частоты.

Цитата(ledum @ Jul 30 2011, 15:11) *
Я так понял большинство современных анализаторов также работает - цифруют полосу 10-50МГц, проходя весь диапазон крупным шагом, дальше - ЦОС.

Современные анализаторы могут цифровать и намного большую полосу, как например АС http://electronix.ru/redirect.php?http://www.home.agilent.com/agilent/product.jspx?nid=-33628.957137.00&cc=US&lc=eng на базе PXI приборов Agilent в состав которого входит оцифровщик с полезной полосой DDC в 250 МГц. Очень кстати похвально, что генераторы Александра http://electronix.ru/redirect.php?http://www.home.agilent.com/agilent/product.jspx?nid=-33628.957112.00&cc=US&lc=eng нашли свое достойное место в линейке этих продуктов. Но тем не менее АС очень часто имеют режим, когда свипирование идет только за счет гетеродина без FFT, как в Agilent PXA N9030A.

Цитата(Chenakin @ Jul 30 2011, 06:53) *
Если есть желание куда-то прорваться, то и надо делать что-то прорывное - именно что на порядки. Другой вопрос, а где этот прорыв может потом найти применение? А нужен ли он?

По шумам, как уже было замечено, для большинства потребителей параметров QuickSyn вполне достаточно. На сегодняшний день есть огромная потребность прорыва на порядки в области скорости перестройки при сохранении всех остальных параметров: цены, шумов, спуров, габаритов. С этой точки зрения с петлей ФАПЧ действительно трудно сделать микросекундные перестройки, в единичных количествах еще кое-как, но в серии повторяемость очень низкая получается. Что остается, высокочастотный DDS? Александр, не скажите в двух словах, какие узлы в новом QuickSyn были модернизированы чтобы перейти со 100 на 50 мкс перестройки?

Автор: Dr.Drew Jul 30 2011, 16:23

Цитата(rloc @ Jul 30 2011, 17:53) *
На сегодняшний день есть огромная потребность прорыва на порядки в области скорости перестройки при сохранении всех остальных параметров: цены, шумов, спуров, габаритов. С этой точки зрения с петлей ФАПЧ действительно трудно сделать микросекундные перестройки, в единичных количествах еще кое-как, но в серии повторяемость очень низкая получается. Что остается, высокочастотный DDS?

Либо высокочастотный, либо подставки - комбинация с прямым синтезом. Предел настанет из-за низкой пропускной способности линии программирования ДДС. 9910 способен дать порядка 1 мкс - особо не разбежишься.

Автор: rloc Jul 30 2011, 17:15

Цитата(Dr.Drew @ Jul 30 2011, 20:23) *
либо подставки - комбинация с прямым синтезом.

Это у нас пока единственный путь. Но если блок с DDS универсален, то прямой синтез каждый раз приходиться делать новый, использовать QuickSyn вместо прямого синтеза получается накладно.

Цитата(Dr.Drew @ Jul 30 2011, 20:23) *
Предел настанет из-за низкой пропускной способности линии программирования ДДС. 9910 способен дать порядка 1 мкс - особо не разбежишься.

Скорость перестройки до 10 мкс уже более чем достаточна. К тому же нам требуется высокая скорость перестройки с точностью до 10 Гц, напомню что в QuickSyn указывается время до точности в +-50 кГц. Была идея использовать два генератора в режиме пинг-понг, но она не получила одобрения по цене. С этой точки зрения DDS вне конкуренции. Интересно посмотреть на характеристики ЦАП MD662H от Euvis, или может кто-нибудь знает на чем делается генератор http://electronix.ru/redirect.php?http://www.home.agilent.com/agilent/product.jspx?nid=-536902257.972199.00&cc=US&lc=eng ? Характеристики последнего впечатляют: SFDR > 80 dBc в полосе до 1 GHz и порядка 68 dBc до 3 GHz, причем есть наработки, уже писал об этом, как эти параметры улучшить.

Автор: YIG Jul 30 2011, 19:32

Цитата(Chenakin @ Jul 30 2011, 05:53) *
Хотел бы сделать одно замечание (по теме в целом, а не в плане какой-то там критики). Такая концепция догоняющего развития ущербна по своей сути. Сергей прав, нет смысла делать то, что уже есть – всё уже занято, да и конкуренты не сидят на месте. Если есть желание куда-то прорваться, то и надо делать что-то прорывное - именно что на порядки. Другой вопрос, а где этот прорыв может потом найти применение? А нужен ли он? Как сделать, что б вот так всё разом завертелось и всё вокруг заработало, и мозги было куда применить? Вопрос риторический...

Нет, вопрос далеко не риторический, а тот факт, что даже я нашёл себе применение и полностью завален работой в нашей фирме говорит об этом.
Стремиться ВСЕМ ещё есть к чему:
1. Время перестройки большинства ширпотребных синтезаторов оставляет желать лучшего. Я понимаю, Александр, что Вы меня направите к прямому аналоговому синтезу, но это для многих уже сразу не вариант по причине чрезвычайной дороговизны. А DDS в интегральном исполнении, как справедливо заметил Dr.Drew тоже не вариант, так как имеет слишком медленный интерфейс. Вариант DDS=FPGA+DAC тоже не безупречен и не всем доступен, но как показал rloc - это тот путь, который отчасти решает многие проблемы, в т.ч. и эту, и следующую.
2. Чистота спектра большинства ширпотребных синтезаторов тоже оставляет желать лучшего. Я и Dr.Drew уже поднимали эту проблему, но до конца её так и не дожали. Большинство производителей указывает спуры на уровне от-60 до -70 дБн. Но в понимании многих это воспринимается как достаточно "грязный" синтезатор, номально было бы требовать как минимум -90 дБн при том, что современные компоненты и методы очистки иногда позволяют этого добиться в узкополосных приложениях. Сложнее с широкополосными синтезаторами. Понятно, что требования по спурам нужно градуировать по частоте, но в общем случае достаточно ограничиться условием не более, а если получилось менее - то УРА! Таким образом: Даёшь спуры не более -90дБн до 10ГГц!!!

Автор: rloc Jul 30 2011, 21:49

Цитата(YIG @ Jul 30 2011, 23:32) *
Большинство производителей указывает спуры на уровне от-60 до -70 дБн. Но в понимании многих это воспринимается как достаточно "грязный" синтезатор, номально было бы требовать как минимум -90 дБн

Рынок приборов не так велик, в более крупном рынке связи и радиолокации чаще используются широкополосные сигналы и более важен интегральный характер шумов в полосе сигнала.

Автор: YIG Jul 31 2011, 04:52

Цитата(rloc @ Jul 31 2011, 00:49) *
Рынок приборов не так велик, в более крупном рынке связи и радиолокации чаще используются широкополосные сигналы и более важен интегральный характер шумов в полосе сигнала.

Да, но я работаю на рынке связи и у меня более чем к половине синтезаторов стоят требования -90 дБн, а к некоторым (в основном - к СВЧ опорам) - -100 дБн. Поэтому я предположил, что тот, кто первый из производителей синтезаторов застолбит место на рынке "особо чистых" синтезаторов, тот получит фору перед остальными для дальнейшего развития в этой отрасли. Ведь Вы должны согласиться, что большинство потребителей таких синтезаторов не имеют возможности быстрого измерения спур в динамическом диапазоне 100 дБ, также как они не имеют возможности измерения фазовых шумов опорного генератора, если отбросить радиолюбительский "показометр-звуковуху".

Автор: Dr.Drew Jul 31 2011, 05:05

Цитата(YIG @ Jul 31 2011, 07:52) *
тот, кто первый из производителей синтезаторов застолбит место на рынке "особо чистых" синтезаторов, тот получит фору перед остальными для дальнейшего развития в этой отрасли.

Навскидку. Кто первым сделает микросхему ФАПЧ с приведённым шумом ЧФД минус 250 дБн/Гц, тот оставит без работы всех остальных... smile3009.gif Квик уместится на ладони... Hittite отделяет от победы всего каких-то 20 дБ. biggrin.gif

Автор: synthesprom Aug 1 2011, 08:09

Я думаю, многие согласятся, что на форуме стало немножко веселее.

Чтобы не отходить от темы форума - книга классная, мы её почитали и нашли для себя много нового и интересного. Мы ни в коем случае здесь не пиаримся, для этого полно других более разумных способов. Просто хотим поделиться своими мыслями по поводу синтеза частот.

Ещё раз хочу сказать, что тематика качества сигнала - это филосовкая тематика. Благодаря соответствующей литературе, многим стало понятно, что, используя такие-то и такие-то технологии, можно достичь очень хороших параметров в синтезаторе. Но рассуждать о том, что чьи-то параметры далеко не идеальны и можно сделать гораздо круче, тем более критиковать, легко всем, а вот реально разработать и изготовить синтезатор в РОССИЙСКИХ условиях гораздо сложнее.

Очень странно слышать от многих, что делать синтезатор, который не будет на порядок лучше, чем квик, бесполезно sm.gif Да вы что, ребята??? Конкуренция - двигатель прогресса! Поэтому абсолютно все люди в мире, которые занимаются тематикой синтеза частот, которые пытаются продавать свои приборы, а не просто разрабатывать, все молодцы!

По поводу грантов, я для Александра отвечу sm.gif
Тут такая штука:
хочешь заниматься наукоёмким рискованным бизнесом в России - дорога тебе к государству. Инвестиции на такие проекты никто не даёт, всем жалко своих денег. Есть мелкие региональные гранты (до 1 млн. руб.), есть федеральные покрупнее (программа "СТАРТ" до 3 млн. руб.). Составляй огромную заявку, участвуй в огромном конкурсе, подписывай гос. контракт, пиши технические и финансовые отчёты. Всё.


 

 _____________________100__.pdf ( 627.49 килобайт ) : 490
 

Автор: Sergey Beltchicov Aug 1 2011, 09:08

Цитата(synthesprom @ Aug 1 2011, 12:09) *
... Мы ни в коем случае здесь не пиаримся, для этого полно других более разумных способов. Просто хотим поделиться своими мыслями по поводу синтеза частот.


Так в чем заключаются Ваши мысли? Что в синтезаторах нужно активно использовать DDS? Так вот ведь какая штука: их и так практически все используют... Или что нужно использовать максимально широкополосные и максимально высокоразрядные DDS?

Цитата(synthesprom @ Aug 1 2011, 12:09) *
..... Но рассуждать о том, что чьи-то параметры далеко не идеальны и можно сделать гораздо круче, тем более критиковать, легко всем, а вот реально разработать и изготовить синтезатор в РОССИЙСКИХ условиях гораздо сложнее.


Критику высказывали, в основном, те, кто именно в российских условиях и занимаются именно изготовлением различных синтезаторов, анализаторов, блоков ЦОС и т.д. и иногда делает их круче по параметрам, чем то, что Вы привели. Непонятно, почему достаточно тривиальные вещи преподносятся как сакральные откровения...

Цитата(synthesprom @ Aug 1 2011, 12:09) *
Поэтому абсолютно все люди в мире, которые занимаются тематикой синтеза частот, которые пытаются продавать свои приборы, а не просто разрабатывать, все молодцы!


Если Вас это успокоит, то Вы - тоже молодец. Мне показалось, что Вы делаете специальный акцент на слове "продавать". Но на серьезном уровне "просто разрабатывать", не продавая, в принципе, невозможно, если ты - коммерческая организация. И вот когда Вы приходите на рынок со своим продуктом против Квика, Хольцворса, Анапико, Хиттайта (нужное добавить), Вам приходится объяснять клиенту, почему ему нужно покупать именно Ваши синтезаторы, а не те, что он с успехом и так давно пользует. И здесь придется говорить о качестве или цене, или о них вместе. Что использовать в качестве основного маркетингового инструмента - это Ваш личный выбор.

Автор: YIG Aug 1 2011, 09:36

Цитата(synthesprom @ Aug 1 2011, 11:09) *
Но рассуждать о том, что чьи-то параметры далеко не идеальны и можно сделать гораздо круче, тем более критиковать, легко всем, а вот реально разработать и изготовить синтезатор в РОССИЙСКИХ условиях гораздо сложнее.

Не вижу ничего плохого в критике, тут на форуме многие из тех, кто варится в собственном соку, выставляют свои разработки и просят критиковать. Да и критика, как я вижу, пошла Вам на пользу, последний пост уже более информатьивный.
Цитата
Очень странно слышать от многих, что делать синтезатор, который не будет на порядок лучше, чем квик, бесполезно sm.gif Да вы что, ребята??? Конкуренция - двигатель прогресса! Поэтому абсолютно все люди в мире, которые занимаются тематикой синтеза частот, которые пытаются продавать свои приборы, а не просто разрабатывать, все молодцы!

Генераторы и синтезаторы - сердце и кровеносная система РЭА, поэтому ими приходится (а не нравится) заниматься, причём не за "молодец!", а за деньги. Характеристики радиочастотного тракта гланым образом определяются характеристиками синтезатора, поэтому эта тема столь актуальна. Далеко не всегда получится заказывать синтезаторы на стороне или покупать готовые, поэтому эта тема является специфичной, порою даже слишком. Я вот, например, пока не понимаю цели максимального подавления гармоник при том, что спуры оставляют желать лучшего, может объясните!

Автор: synthesprom Aug 1 2011, 10:11

Что ж вы все не поймёте-то... Мы не хвастаемся своими характеристиками, мы их просто показали и всё. Мы прекрасно понимаем, что можно было сделать лучше, и прекрасно знаем, как. Но хорошая мысля приходит опосля. Подавление гармоник - это обязательное требование некоторых наших заказчиков.

Автор: vhk Aug 1 2011, 11:36

Цитата(YIG @ Jul 31 2011, 07:52) *
... также как они не имеют возможности измерения фазовых шумов опорного генератора, если отбросить радиолюбительский "показометр-звуковуху".

У метода "показометр-звуковуха" наилучшее соотношение "стоимость - эффективность".
vhk.

Автор: ledum Aug 1 2011, 12:48

Цитата(vhk @ Aug 1 2011, 14:36) *
У метода "показометр-звуковуха" наилучшее соотношение "стоимость - эффективность".
vhk.

Здравствуйте, Сергей.
Нужны разнесенные кварцы, желательно однотипные, а на 5-7-й гармошке это уже трудно сделать - резонансный интервал в полтысячи (ошибся до этого) Герц, да и смесители на 100-200МГц даже на PI5V330 уже более стремны - придется брать диодные с бОльшими потерями. Я помню Ваши измерения Филуровских кварцев.
5МГц водородного стандарта здесь мало кого интересуют.

Автор: vhk Aug 1 2011, 13:26

Здравствуйте Виктор.
По ссылке описание
http://electronix.ru/forum/index.php?act=attach&type=post&id=59248
«малошумящего синтезатора с высоким качеством сигнала СЧ-100М»
Приведенные спектры сигнала, соотношение С/Ш на спектрах не соответствуют спектрам фазового шума. Разница примерно 20 дБ, вот это и есть показометр. laughing.gif
vhk.

Автор: YIG Aug 2 2011, 02:01

Цитата(synthesprom @ Aug 1 2011, 13:11) *
Что ж вы все не поймёте-то... Мы не хвастаемся своими характеристиками, мы их просто показали и всё. Мы прекрасно понимаем, что можно было сделать лучше, и прекрасно знаем, как. Но хорошая мысля приходит опосля. Подавление гармоник - это обязательное требование некоторых наших заказчиков.

Теперь понятнее... Я ведь тоже не из любопытства спросил, просто мне таких требований никто никогда не предъявлял, но иногда сам давил гармоники на всякий случай. Как-то привык уже, что требования по побочным негармоническим спектральным составляющим и субгармоникам гораздо важнее, но теперь понимаю, что Ваш метод DDS в этом синтезаторе пока не позволил обеспечить их низкий уровень.
ЗЫ: Также стало понятно, что 3 года - возраст Вашей компании... Ну что же, желаю удачи! rolleyes.gif
Цитата(vhk @ Aug 1 2011, 14:36) *
У метода "показометр-звуковуха" наилучшее соотношение "стоимость - эффективность".

Абсолютно согласен! Сам уже успел оценить. К нему бы ещё чёткую методику применения, да полосу как-то расширить, и цены ему не будет.
Цитата(vhk @ Aug 1 2011, 16:26) *
По ссылке описание
http://electronix.ru/forum/index.php?act=attach&type=post&id=59248
«малошумящего синтезатора с высоким качеством сигнала СЧ-100М»
Приведенные спектры сигнала, соотношение С/Ш на спектрах не соответствуют спектрам фазового шума. Разница примерно 20 дБ, вот это и есть показометр. laughing.gif

Позвольте полюбопытствовать, как Вы это определили?

Автор: vhk Aug 2 2011, 05:26

Цитата(YIG @ Aug 2 2011, 05:01) *
Позвольте полюбопытствовать, как Вы это определили?

В руководстве на синтезатор «СЧ-100М» указан уровень фазовых шумов -138 дБн/Гц на 10 кГц отстройке от сигнала. На спектрах сигналов при разрешении анализатора спектра в 1 Гц соотношение С/Ш должно быть 138 дБ, то есть сигнал – 0 дБм должен превышать «шумовую дорожку» с уровнем -138 дБм на 138 дБ при 10 кГц отстройке и более.
Разрешение анализатора спектра выбрано 97,7 Гц, сигнал превышает уровень «шумовой дорожки» на 98 дБ, поправка к 1 Гц = 10*LOG(97,7) = 20 дБ.
При полосе анализатора спектра в 1 Гц С/Ш = -118 дБ.
Разница 20 дБ, было бы интересно услышать версию автора синтезатора.
Могу предположить, что в распоряжении авторов изделия нет анализатора спектра с надлежащим динамическим диапазоном.
По методике «звуковая карта» не составит труда собрать вспомогательный генератор генератор на 20 МГц (частота сигнала на одном из спектров и для переноса сигнала на НЧ) и с разрешением 1 Гц или 0,1 Гц понаблюдать появление «мелких» спуров при перестройке синтезатора с шагом в 1 Гц.
vhk.


Автор: rloc Aug 2 2011, 05:56

Цитата(vhk @ Aug 2 2011, 09:26) *
понаблюдать появление «мелких» спуров при перестройке синтезатора с шагом в 1 Гц.

Зачем на мелкие смотреть, если и невооруженным глазом видны спуры под 70 дБ. А на счет динамики прибора вы абсолютно правы, тем более при таких отстройках.

Автор: Chenakin Aug 5 2011, 02:50

Цитата(rloc @ Jul 30 2011, 07:53) *
Думаю мелкий шаг возникает из-за невозможности получить равномерный шаг грубой перестройки

В яблочко.

Цитата(rloc @ Jul 30 2011, 07:53) *
По шумам, как уже было замечено, для большинства потребителей параметров QuickSyn вполне достаточно. На сегодняшний день есть огромная потребность прорыва на порядки в области скорости перестройки при сохранении всех остальных параметров: цены, шумов, спуров, габаритов.

Согласен. Вообще, “качество” синтезатора определяют следующие параметры: диапазон (1), шаг перестройки (2), фаз. шум (3), спуры (4) и время перестройки (5). Плюс цена и габариты (т.е. во что всё вышеуказанное удаётся запихнуть). Сдаётся мне, что скорость перестройки – это основной “драйвер” на сегодняшний день.

Цитата(rloc @ Jul 30 2011, 07:53) *
Александр, не скажите в двух словах, какие узлы в новом QuickSyn были модернизированы чтобы перейти со 100 на 50 мкс перестройки?

Ну, в двух словах сказать что-то (несущее какой-то смысл) вряд ли получится - нужно вдаваться в детали, что по понятным причинам сделать не получится. Если уж говорить совсем абстрактно, то переработаны были практически все узлы синтезатора, плюс применён более мощный процессор (как мы говорили здесь, все задержки, связанные с расчётом частот, программированием отдельных микросхем, выработкой сигнала lock detect и т.д. входят в указанное время перестройки помимо собственно самого времени захвата ФАПЧ).

Цитата(Dr.Drew @ Jul 30 2011, 22:05) *
Навскидку. Кто первым сделает микросхему ФАПЧ с приведённым шумом ЧФД минус 250 дБн/Гц, тот оставит без работы всех остальных... smile3009.gif Квик уместится на ладони... Hittite отделяет от победы всего каких-то 20 дБ. biggrin.gif

Плюс обеспечит требуемый шаг перестройки и спуры (уходим на второй круг). Ну, а так, да, кто-бы спорил, 20 дБ – это как раз порядок (или два - смотря, что перед логарифмом ставить). Вот это будет прорыв. Правда, к тому времени, возможно, всем уже будут наносекунды нужны rolleyes.gif

Автор: synthesprom Aug 5 2011, 08:44

...“качество” синтезатора определяют следующие параметры: диапазон (1), шаг перестройки (2), фаз. шум (3), спуры (4) и время перестройки (5). Плюс цена и габариты....

Всё зависит от области применения. Многие не обращают внимание на проблему подавления гармоник во всём диапазоне, хотя она всегда была и будет. Помехи ещё никогда никого не радовали, ни в измерительной аппаратуре, ни тем более в составе РЛС и средств РЭБ, кроме систем, где идёт умножение и гармоника никому не мешает.

Так что качество синтезатора определяется не набором трудносовместимых параметров, а тем на сколько качественно выполнено техническое задание конкретного заказчика, удовлетворены его собственные интересы в том или ином параметре.

А набор, представленный выше, он определяет не качество, а коммерческий потенциал продукта, потому что в таком синтезаторе соблюдаются интересы большинства, но далеко не всех потребителей.

Автор: Dr.Drew Aug 5 2011, 09:19

Вопрос подавления гармоник синтезатора скользкий. И вряд ли большинству потребителей синтезатора надо ниже минус 40-50 дБн по гармоникам. Если синтезатор работает как гетеродин приёмника, то ниже минус 30-40 дБ я смысла не вижу давить - в смесителе вторая гармоника сама по себе получается на уровне минус 20-25. Если синтезатор "излучает" во внешнее пространство, то явно не своим выходом через антенну, а посредством усилителя мощности, разработка которого - отдельная песня. И вопрос подавления внеполосных излучений, включая гармоники, решается здесь уже отдельно от собственно синтезатора.

Автор: ledum Aug 5 2011, 11:18

Цитата(Dr.Drew @ Aug 5 2011, 12:19) *
Вопрос подавления гармоник синтезатора скользкий. И вряд ли большинству потребителей синтезатора надо ниже минус 40-50 дБн по гармоникам. Если синтезатор работает как гетеродин приёмника, то ниже минус 30-40 дБ я смысла не вижу давить - в смесителе вторая гармоника сама по себе получается на уровне минус 20-25.

Больное место смесителей прямого преобразования (ну или I/Q) - 90% всех спутниковых приемников - преобразование на второй гармонике гетеродина. При нынешних смесителях ADL538X паразитные каналы с уровнем выше минус 50дБ уже важны. Но боремся с сигналом - ставим коммутируемый LFCN1200 в сигнале 950-2150МГц, а не в гетеродине.

Автор: YIG Aug 5 2011, 14:15

Цитата(Chenakin @ Aug 5 2011, 05:50) *
Согласен. Вообще, “качество” синтезатора определяют следующие параметры: диапазон (1), шаг перестройки (2), фаз. шум (3), спуры (4) и время перестройки (5). Плюс цена и габариты (т.е. во что всё вышеуказанное удаётся запихнуть). Сдаётся мне, что скорость перестройки – это основной “драйвер” на сегодняшний день.

Абсолютно согласен, Александр, что скорость перестройки является приоритетом №1, и уход от перестраиваемых ЖИГов в большинстве приложений неизбежен. Сам уже подумываю сменить ник YIG на VCO sm.gif , но пока в раздумьях: хочу всё-таки крутую опору на узкополосной ФАПЧ с ЖИГом в деле опробовать, тем более, что лейкосапфиры и керамические резонаторы мне не очень подходят.
От себя ещё скромно добавлю, что важны высокие показатели надёжности, в т.ч. захвата частоты, широкий температурный диапазон и др. условия эксплуатации, так как радио, строящееся на модулях синтезаторов - это главным образом спецтехника, а не ширпотреб, и рынок этой спецтехники очень весОм.

Автор: Dr.Drew Aug 5 2011, 15:03

Цитата(YIG @ Aug 5 2011, 18:15) *
керамические резонаторы мне не очень подходят.

У них шумы даже ниже ЖИГов. Какой-нибудь 3-4 ГГц ГУН спокойно даёт около минус 140 на 100 кГц, в то время как ЖИГ - около минус 130. А перестройка около 0,5%. Что не нравится-то?

Автор: Chenakin Aug 5 2011, 21:50

Цитата(synthesprom @ Aug 5 2011, 01:44) *
...“качество” синтезатора определяют следующие параметры: диапазон (1), шаг перестройки (2), фаз. шум (3), спуры (4) и время перестройки (5). Плюс цена и габариты....

Всё зависит от области применения.

Конечно. Но речь зашла о том, что нового стоит ожидать в синтезаторной области в целом, т.е. что будет влиять на появление новых архитектурных решений. Я думаю, именно вот эти параметры, но никоим образом не гармоники.

Цитата(synthesprom @ Aug 5 2011, 01:44) *
Многие не обращают внимание на проблему подавления гармоник во всём диапазоне, хотя она всегда была и будет.

Ну, почему же не обращают? Мы, как раз, вставляем переключаемые фильтры, чтобы подавлять гармоники до определённого уровня. Хотя по опыту знаю, что гармоники во многих случаях не являются критическим фактором (исключения везде есть, конечно). Как тут уже заметили, они просто перегенерируются в других элементах системы. Но дело даже не в этом. Гармоники (как и уровень выходного сигнала или согласование по выходу и т.д.), не являются чисто “синтезаторной” проблемой. Поставим усилитель на выход сверхчистого синтезатора и получим гармоники, которые опять надо подавлять. Т.е. гармоники – это системный параметр, внешний (вторичный) по отношению к выбору архитектуры самого синтезатора (хотя может быть и исключительно важным для конкретной разрабатываемой системы).

Цитата(synthesprom @ Aug 5 2011, 01:44) *
А набор, представленный выше, он определяет не качество, а коммерческий потенциал продукта, потому что в таком синтезаторе соблюдаются интересы большинства, но далеко не всех потребителей.

Хорошо, давайте назовём это коммерческим потенциалом, если такой термин больше подходит, суть дела это не меняет (хотя, коммерческий потенциал зависит ещё от ряда факторов, например, как красиво корпус раскрасить, или, скажем, наличием разнообразных интерфейсом (это куда более удачный пример), т.е. маркетинговой начинкой). Можем, конечно, и о маркетинге поговорить, но мне, честно говоря, больше был интересен чисто технический аспект – что будет определять пути развития синтезаторов (т.е. что будет нужно как раз для большинства потребителей).

Автор: YIG Aug 6 2011, 02:18

Цитата(Dr.Drew @ Aug 5 2011, 18:03) *
У них шумы даже ниже ЖИГов. Какой-нибудь 3-4 ГГц ГУН спокойно даёт около минус 140 на 100 кГц, в то время как ЖИГ - около минус 130. А перестройка около 0,5%. Что не нравится-то?

У них рабочий диапазон частот как правило ограничен 6-8 ГГц и слишком узкий диапазон подстройки в моём температурном диапазоне, как правило, не более 0.1-0.25 %. Но всё равно я сейчас их начал активно использовать за неимением альтернативы. Лейкосапфиры мне не вытянуть, да и я уже говорил, что они слишком нежные. Завалялась дюжина ЖИГов с прошлых времён, среди которых несколько узкополосных PMYTO, вот и хочу сравнить их с CRO в плане использования в качестве СВЧ-опоры на частотах 10-20 ГГц с последующим делением частоты. Фазового шума для моих задач по расчётам хватает, более интересен чистый спектр (не хуже 90 дБн).
Тут особых сложностей со схемотехникой нет: магнит можно заменить на более сильный, управляющей обмотки достаточно одной, делители до 20 ГГц есть на складе, а вот с конструкцией придётся основательно повозиться: нужно обеспечить высокую виброустойчивость системы, не чувствительной к ударам и транспортной тряске. Понятно, что у CRO здесь явное преимущество...

Автор: Genesys Aug 6 2011, 08:07

Цитата
У них шумы даже ниже ЖИГов.

Вот и я о том же. Benefon Delta имел в своем составе керамику ( а его хоть в космос ) это вам не Sony Z1, такшта.
А тут здравствуй ж... новый год, не правельно это. А троица с улицы разбитых фонарей, те да приставали к моей жене,
типо ой девочки. Жалко что меня там небыло, мож еще фонарь разбил бы.

Автор: YIG Aug 6 2011, 18:14

Цитата(Genesys @ Aug 6 2011, 11:07) *
А тут здравствуй ж... новый год, не правельно это.

"А не спеши ты ЖИГ хоронить, а у нас еще здесь дела.
У нас дома pmytoв мал-мала, да и просто хотелось флудить."
Типо ЧайфTM, надеюсь что они не обидятся на эту безобидную пародию... rolleyes.gif
...да и Вы Genesys тоже не обижайтесь на мои беззлобные шутки! laughing.gif
Теперь серьёзно о ЖИГах:
Не будем мусолить теорию и перечислять все недостатки ЖИГов, мы Все здесь их прекрасно знаем, давайте определимся с будущим ЖИГов:
1. ЖИГ-генераторы и ЖИГ-фильтры ещё довольно долго будут держаться во многих медленных приложениях, таких, как крутые измерительные приборы, и если ЖИГ-генераторам рано или поздно найдётся замена (DDS+CRO, DRO, оптика), то перестраиваемые ЖИГ-фильтры 6-7 порядка пока трудно чем-то заменить. Перестраиваемые полосовые фильтры от Hittite с огромной полосой и умеренным подавлением вне её пока не является везде хорошей альтернативой, а переключаемые банки фильтров не способны вырезать спектр сигнала в любой необходимой точке.
2. В приложениях со средними скоростями перестройки порядка 0,1-10 мс и относительно узкими полосами порядка 1-4 ГГц пока ещё очень удобно использовать PMYTO (ЖИГ-генератор с постоянным магнитом), тем более, что MicroLambda заметно уменьшила их габариты в новой корпусной линейке. Разумеется, с уменьшением веса и габаритов обеспечение нечувствительности к вибрации таких синтезаторов упростилось.
3. В быстрых приложениях со скоростями перестройки порядка 10-100 мкс PMYTO тоже интересны в узкой полосе порядка десятков-сотен МГц. Такие скорости легко обеспечить как двумя, так и одной обмоткой с использованием технологии форсирования перестройки ЖИГ-генератора с постоянным магнитом.
4. Как опора ЖИГ-генератор интересен ещё и потому, что он работает по теории до 80 и более ГГц, где другие технологии уже на грани издыхания или имеют несоразмерно худшие характеристики. Как следствие, их сигнал берут за основу при работе на КВЧ.
5. Да и саму узкодиапазонную подстраиваемую опору на ЖИГе можно построить как с узкой полосой (медленную), так и с широкой (быструю), что позволило бы гибко манипулировать диапазоном и характеристиками такой опоры (а может и синтезатора). Причём сочетание DDS+YIG в такой ситуации получается ничуть не хуже сочетания DDS+VCO, ну разве что по цене.
6. По поводу цены можно сказать то, что многие предприятия пришли к самостоятельной сборке своих приборов на основе ЖИГ-сферы. Скорее всего объём производства ЖИГ-приборов в ближайшее время заметно уменьшится, но увеличится объём производства ЖИГ-сфер для прикладных задач. Сейчас поставщиков ЖИГ-сфер пока раз-два и обчёлся...
Тем не менее не сомневаюсь в общей тенденции ухода от ЖИГов везде, где от них можно уйти! rolleyes.gif

Автор: synthesprom Aug 8 2011, 06:09

Цитата
Конечно. Но речь зашла о том, что нового стоит ожидать в синтезаторной области в целом, т.е. что будет влиять на появление новых архитектурных решений. Я думаю, именно вот эти параметры, но никоим образом не гармоники.


В принципе, да. Если считать, что синтезаторная область в целом определяется новыми архитектурными решениями компании Phase Matrix или holzworth, то да. Если взять инженеров НИИ, которые делают синтезаторы для РЛС и средств РЭБ, то нет. Весной в Москве на выставке экспоэлектроника нам показали дорогущий генератор R&S до 3 ГГц с чистым спектром без гармоник во всей полосе. Поэтому, в принципе, не факт не факт...

Цитата
Можем, конечно, и о маркетинге поговорить, но мне, честно говоря, больше был интересен чисто технический аспект – что будет определять пути развития синтезаторов (т.е. что будет нужно как раз для большинства потребителей)


Я знаю - синтезатор частот а кристаллах (технология SOC), размером с флешку, до 30 ГГц, с голосовым управлением, за несколько долларов sm.gif

Автор: Dr.Drew Aug 10 2011, 16:05

Цитата(YIG @ Aug 6 2011, 21:14) *
1. ЖИГ-генераторы и ЖИГ-фильтры ещё довольно долго будут держаться во многих медленных приложениях, таких, как крутые измерительные приборы, и если ЖИГ-генераторам рано или поздно найдётся замена (DDS+CRO, DRO, оптика), то перестраиваемые ЖИГ-фильтры 6-7 порядка пока трудно чем-то заменить. Перестраиваемые полосовые фильтры от Hittite с огромной полосой и умеренным подавлением вне её пока не является везде хорошей альтернативой, а переключаемые банки фильтров не способны вырезать спектр сигнала в любой необходимой точке.

Если бы не необходимость в избирательности по зеркальному каналу, в анализаторах давно бы отказались-больше гемора с калибровками, да ещё и лишняя нелинейность.
Цитата(YIG @ Aug 6 2011, 21:14) *
2. В приложениях со средними скоростями перестройки порядка 0,1-10 мс и относительно узкими полосами порядка 1-4 ГГц пока ещё очень удобно использовать PMYTO (ЖИГ-генератор с постоянным магнитом), тем более, что MicroLambda заметно уменьшила их габариты в новой корпусной линейке. Разумеется, с уменьшением веса и габаритов обеспечение нечувствительности к вибрации таких синтезаторов упростилось.

Уменьшили ценой повышения тока через обмотку. Не видели последствия закипания лаковой изоляции основной катушки MLMY? Как всю ГИС внутри заливает вонючей фигнёй, что аж генератор работать перестаёт. Ну да скорость там подняли, зато катушка кипит,что громадный радиатор приходится ставить. А перманентные ЖИГи узкополосны по определению - по-моему на любителя. Я пока не сталкивался с необходимостью. Видел в буржуйском релеечном синтезаторе, но не понял, зачем он там-шумы в таких системах больше опорой и ФАПЧ определяются.
Цитата(YIG @ Aug 6 2011, 21:14) *
4. Как опора ЖИГ-генератор интересен ещё и потому, что он работает по теории до 80 и более ГГц, где другие технологии уже на грани издыхания или имеют несоразмерно худшие характеристики. Как следствие, их сигнал берут за основу при работе на КВЧ.

А возбуждать-то чем? Шумными полевиками? ГБТ тут пока существуют в виде единичных зарубежных НИОКР. Да и везде простые широкополосные ГУН имеют худшие характеристики. Есть и на 30 и на 40 ГГц МИС ГУН. Соотношение по шумам всё равно остаётся примерно тем же. Слепить такой генератор (ЖИГ) проще - резонатор уже есть.
Цитата(YIG @ Aug 6 2011, 21:14) *
6. По поводу цены можно сказать то, что многие предприятия пришли к самостоятельной сборке своих приборов на основе ЖИГ-сферы. Скорее всего объём производства ЖИГ-приборов в ближайшее время заметно уменьшится, но увеличится объём производства ЖИГ-сфер для прикладных задач. Сейчас поставщиков ЖИГ-сфер пока раз-два и обчёлся...
Тем не менее не сомневаюсь в общей тенденции ухода от ЖИГов везде, где от них можно уйти! rolleyes.gif

Кто-то начинает,а кто-то прекращает. Для разработки нужно иметь технологию ГИС, а это не каждый себе позволяет. Мощность большая для производства только генераторов - нужно ещё чем-то грузить. Иначе - убытки.
А больше поставщиков и не нужно. В поднятие технологии производства шариков нужно ввалить немеряно бабла. При этом сразу производственные мощности будут на десятки тысяч штук в год. Две-три фирмы спокойно заваливают производителей приборов во всём мире своими шариками. Ну и куда тут втиснешься со своими? Так, кусочек оторвёшь, что окупиться даже не хватит.

Автор: YIG Aug 10 2011, 16:52

Цитата(Dr.Drew @ Aug 10 2011, 19:05) *
Если бы не необходимость в избирательности по зеркальному каналу, в анализаторах давно бы отказались-больше гемора с калибровками, да ещё и лишняя нелинейность.

Тут давеча поднимал чужую темку: http://electronix.ru/forum/index.php?showtopic=79798&pid=960571&st=20&#entry960571
По теме получается, что никакого застарелого гемора с источником тока нет, есть только проблема старения самого ЖИГ-фильтра, что решаемо.
Цитата
Уменьшили ценой повышения тока через обмотку. Не видели последствия закипания лаковой изоляции основной катушки MLMY? Как всю ГИС внутри заливает вонючей фигнёй, что аж генератор работать перестаёт. Ну да скорость там подняли, зато катушка кипит,что громадный радиатор приходится ставить. А перманентные ЖИГи узкополосны по определению - по-моему на любителя. Я пока не сталкивался с необходимостью. Видел в буржуйском релеечном синтезаторе, но не понял, зачем он там-шумы в таких системах больше опорой и ФАПЧ определяются.

Дык новую линейку PMYTO зацените - до полутора октав доходят! По поводу вскипания - не в курсе, но Пельтье и радиаторы вытянут, был бы прок. Если учесть шумы HMC703, HMC704, то уже фазовые шумы соразмерны или ниже (в зависимости от режима)...
Цитата
А возбуждать-то чем? Шумными полевиками? ГБТ тут пока существуют в виде единичных зарубежных НИОКР. Да и везде простые широкополосные ГУН имеют худшие характеристики. Есть и на 30 и на 40 ГГц МИС ГУН. Соотношение по шумам всё равно остаётся примерно тем же. Слепить такой генератор (ЖИГ) проще - резонатор уже есть.

Это уже не ко мне, я пока работаю до 40 ГГц, выше только уважаемый khach взлетел! rolleyes.gif
Цитата
Кто-то начинает,а кто-то прекращает. Для разработки нужно иметь технологию ГИС, а это не каждый себе позволяет. Мощность большая для производства только генераторов - нужно ещё чем-то грузить. Иначе - убытки.
А больше поставщиков и не нужно. В поднятие технологии производства шариков нужно ввалить немеряно бабла. При этом сразу производственные мощности будут на десятки тысяч штук в год. Две-три фирмы спокойно заваливают производителей приборов во всём мире своими шариками. Ну и куда тут втиснешься со своими? Так, кусочек оторвёшь, что окупиться даже не хватит.

Если в России, то - да... А за бугром я производителей ЖИГ-приборов уже десяток знаю, а если ЖИГи сдохнут, то они и в рынок заказных приборов и ЖИГ-сфер ринутся, и даже погрызутся немного...

Автор: Dr.Drew Aug 10 2011, 17:13

Цитата(YIG @ Aug 10 2011, 20:52) *
По теме получается, что никакого застарелого гемора с источником тока нет, есть только проблема старения самого ЖИГ-фильтра, что решаемо.

Я имел ввиду калибровку АЧХ приёмного тракта. С остальным справляются.
Цитата(YIG @ Aug 10 2011, 20:52) *
Если в России, то - да... А за бугром я производителей ЖИГ-приборов уже десяток знаю, а если ЖИГи сдохнут, то они и в рынок заказных приборов и ЖИГ-сфер ринутся, и даже погрызутся немного...

За бугром такая же фигня. Эти производители покупают у кого-то. Ну, допустим, делает один по тысяче приборов в год, потребляя, грубо, пять тысяч сфер. Так с одной були можно столько накатать этих шаров, что ещё десяти хватит. Самому себе делать невыгодно - оборудование дорогое.



Автор: YIG Aug 10 2011, 17:40

Цитата(Dr.Drew @ Aug 10 2011, 20:13) *
Я имел ввиду калибровку АЧХ приёмного тракта. С остальным справляются.

Ах да! Ну да, зами с этим бода...емся!
Цитата
За бугром такая же фигня. Эти производители покупают у кого-то. Ну, допустим, делает один по тысяче приборов в год, потребляя, грубо, пять тысяч сфер. Так с одной були можно столько накатать этих шаров, что ещё десяти хватит. Самому себе делать невыгодно - оборудование дорогое.

Это как в анекдоте:
-Эту поп-диву обсасывают десяток самых популярных ди-джэев мира!!!
-А что в ней такого уникального, ведь полно других голосистых певиц?
-А разве вы не в курсе? У неё одной голос имеет спектр десять октав!!!
-Хм!!! От 20 Гц до 20 кГц??? Я сомневаюсь, что кто-либо может так петь!
-Да Вы просто не в курсе, сколько певцов и певиц поют на эту поп-диву!!!
За анек прошу сильно не бить, только что сам сочинил, а то скучно как-то...

Автор: ledum Aug 11 2011, 07:31

Гы, прикольно было наблюдать, как на Милиполе или Цебите нашу продукцию сразу 5 широко известных в узких кругах фирм под своими лэйбами представляют. Но самый прикол, когда российские комплексы мониторинга GSM c шифрованием А5/2 на переделанных Моторолах 930(920) в корпусах от видаков продают десятки фирм от Румынии до Китая. И каждая под своим именем. Нам в институт погранцы постоянно чуть ли не десятками подбрасывали на экспертизу во время перед выборами. Чаще всего ее позиционировали как якобы индийскую Shoghi SCL-5020 (99% содрана с российской). А внутри - межблочная распайка советским МГТФ а-ля Синклер на макетке и фильтры на наших полужестких коаксиалах. К сожалению, рынок СВЧ спецухи во многом перемаркировка и перепродажа. Кстати, и на видеонаблюдении та же история - Хиквиженовские платы 4004 на PCI под своими лэйбами тоже продавали и известные амеры, и британцы. Последние вообще обнаглели - мало того, что цена в полтора раза выше, дык еще и драйвера платные и отдельно от плат видеозахвата. При том, что абсолютно идентичные драйвера+SDK на Hikvision бесплатно. Ух ты, уже наши заделались китайцами - тоже тупо лэйбы ставят http://electronix.ru/redirect.php?http://shop-csb.ru/katalog/products/skud/platy-videozahvata/platy/platy-20306/plata-nv4004hci-netvision , http://electronix.ru/redirect.php?http://www.longrange.ru/product_details.php?pro_id=44 , http://electronix.ru/redirect.php?http://www.ildvr.com.ua/?r=3&p=1&pr=7 - это все Хиквижен DS-4004, например, http://electronix.ru/redirect.php?http://securtv.ru/catalogue/cifrovie_sistemy/newdiv_160331/catalogue_DS-4004HCI.html .

Автор: Genesys Aug 14 2011, 14:32

Цитата
Нам в институт погранцы постоянно чуть ли не десятками подбрасывали на экспертизу во время перед выборами.

Да не говори rolleyes.gif Весь мир обеспечиваете спецтехникой... вот у Кадафи... rolleyes.gif
Да уж, им бы понедельники взять и отменить... вот и отменили экспертизы, понедельники и носить более некуда.
Как то вспоминаю в прессе экспертизу... рванули маленькие плоские серебристые шайбочки. ИМХО кадмий никель батарея-аккумулятор (7Д01? ), типо это нашли после, ну и экспертиза соответствующая, как у чукчи, что вижу то и пою.
Опять по Дискавери вивисекцию показывали... хоть телек не смотри а я и не смотрю по мере сил.

Автор: rloc Sep 7 2011, 07:32

Статья Александра в журнале Элекроника НТБ выпуск #4/2011.

Фазовые шумы в СВЧ-генераторах. Методы решения проблемы

 Phase_noise_in_microwave_generators.pdf ( 3.06 мегабайт ) : 446

Автор: synthesprom Sep 9 2011, 06:15

В конце там приводится целых 49 литературных источников, причём судя по фамилиям авторов - это такая интернациональная сборная sm.gif Проблему спектральной плотности мощности фазового шума решаем всем миром!

Автор: Sergey Beltchicov Sep 9 2011, 14:03

Статья Александра - очень зачетный обзор.
Не до конца согласен с Александром в том месте, где говорится, что профиль фазового шума генератора можно целиком сдвинуть вниз за счет увеличения мощности, отбираемой от активного устройства.
У Рубиолы показано, что фликкерная граница усилителя отползает в зону больших отстроек с увеличением мощности. Fc = b-1*P/fkT. Если учесть, что Fc усилителя с ростом мощности будет расти, картинка получается такая.

 

Автор: YIG Sep 9 2011, 14:27

Цитата(synthesprom @ Sep 9 2011, 09:15) *
В конце там приводится целых 49 литературных источников, причём судя по фамилиям авторов - это такая интернациональная сборная sm.gif Проблему спектральной плотности мощности фазового шума решаем всем миром!

А сколько людей бьётся над вопросом YIG or VCO?! Мне, цифровику, это напоминает битву HDD vs Flash, срок победы которых снова перенесли на 2017 год! sm.gif А тем временем FRAM подкрался незаметно и обоим фаворитам скоро капец! Да и мемристоры HP много нового обещают!
По теме: предвижу победу СВЧ-генераторов, синхронизируемых от Рубидия или GPS. Видит око - да зуб неймёт! laughing.gif

Автор: rloc Sep 9 2011, 14:29

Опять же, обращаясь к Rubiola, картинки фазовых шумов нужно разделить на два типа:



Абсолютно согласен с Dr.Drew, не необязательно шум должен спадать 20 log/dec, возможен вариант и 10 log/dec. Вообще я настаиваю, чтобы был "оглашен весь список" маленьких и не очень назовем недочетов.

Автор: Sergey Beltchicov Sep 9 2011, 14:43

Цитата
Абсолютно согласен с Dr.Drew, не необязательно шум должен спадать 20 log/dec, возможен вариант и 10 log/dec. Вообще я настаиваю, чтобы был "оглашен весь список" маленьких и не очень назовем недочетов.


Конечно, вариант 2 (10 log/dec) возможен. Но такой вариант предъявляет суровые требования к резонатору. Для цивильного СВЧ автогенератора без криогеники все-таки доминирует вариант 1 (если, конечно, не рассматривать супер-монстров, которые Иванов с Тобаром клепают в UWA).

Автор: ledum Sep 9 2011, 14:55

Цитата(YIG @ Sep 9 2011, 17:27) *
GPS. Видит око - да зуб неймёт!

А это уж почему - как раз на крыше стоят Норсаты зафапированые от 10МГц Мориона, который, в свою очередь, зацеплен за pps от GPS. Здесь вообще проблем нет. Кроме времени захвата.

Автор: Dr.Drew Sep 9 2011, 15:27

Цитата(Sergey Beltchicov @ Sep 9 2011, 17:03) *
Статья Александра - очень зачетный обзор.
Не до конца согласен с Александром в том месте, где говорится, что профиль фазового шума генератора можно целиком сдвинуть вниз за счет увеличения мощности, отбираемой от активного устройства.
У Рубиолы показано, что фликкерная граница усилителя отползает в зону больших отстроек с увеличением мощности. Fc = b-1*P/fkT. Если учесть, что Fc усилителя с ростом мощности будет расти, картинка получается такая.

Тут, скорее, имеется ввиду не изменение режима усилителя, а его полная замена. Тогда фликкерная граница как бы остаётся на месте, а вся характеристика падает вниз.

Цитата(Sergey Beltchicov @ Sep 9 2011, 17:43) *
Конечно, вариант 2 (10 log/dec) возможен. Но такой вариант предъявляет суровые требования к резонатору. Для цивильного СВЧ автогенератора без криогеники все-таки доминирует вариант 1 (если, конечно, не рассматривать супер-монстров, которые Иванов с Тобаром клепают в UWA).

Это нам, осведомлённым людям, понятно, что есть и второй, редкий, вариант. А если статью откроет вчерашний стдуент? Всё...прощай молодость...
Ещё меня "удивил" линейный закон изменения добротности ДР. Это как? Вроде, обратно пропорциональная зависимость...
И это ещё не всё...

Автор: YIG Sep 9 2011, 16:06

Цитата(ledum @ Sep 9 2011, 17:55) *
А это уж почему - как раз на крыше стоят Норсаты зафапированые от 10МГц Мориона, который, в свою очередь, зацеплен за pps от GPS. Здесь вообще проблем нет. Кроме времени захвата.

Ах да! НедописАл про дальнейшеее... деление со смешением да ещё и со сверхчистым 16-битовым DDSом до 1 ГГц! О как!!! rolleyes.gif
Ну да ладно, можно сказать, что я пошутил, хотя в каждой шутке есть доля шутки! sm.gif Кстати, пора делать 16-bit DDS, у TI есть арсенал!!! a14.gif
rloc, не рискнёте???!!! Если да, то 4 канала ЦАП Вам в подмогу!...
P.S. Да ещё, согласно книге Ченакина, воображаемый мультиоктавный суперсинтезатор с суперчистым спектром и субГерцовым шагом должен быть наносекундным по времени перестройки!!! Вопросы: Как смешиваать??? Как фильтровать комбинашки??? Сколько фильтров будет в банках???
Ну и сколько это будет стоить и кому это надо за такие деньги?????? Ладно, оторвусь - вернусь после отпуска к простейшим ФАПЧ... laughing.gif

Автор: Chenakin Sep 9 2011, 19:26

Цитата(Sergey Beltchicov @ Sep 9 2011, 07:03) *
Статья Александра - очень зачетный обзор.
Не до конца согласен с Александром в том месте, где говорится, что профиль фазового шума генератора можно целиком сдвинуть вниз за счет увеличения мощности, отбираемой от активного устройства.
У Рубиолы показано, что фликкерная граница усилителя отползает в зону больших отстроек с увеличением мощности. Fc = b-1*P/fkT. Если учесть, что Fc усилителя с ростом мощности будет расти, картинка получается такая.

Да нет, я думаю, мы мыслим одинаково. В статье абзацем ниже: ”…Однако увеличивать мощность нужно очень аккуратно, поскольку с заходом активного прибора в область компрессии усиления возрастают его шумы, а это может привести к резкому увеличению фазовых шумов. Для того чтобы шумовые характеристики активного прибора оставались неизменными, желательно использовать его в малосигнальном «линейном» режиме.”
Т.е. сдвинуть вниз, как мне кажется, всё же можно, если одновременно фликер жёстко контролировать (напр. FLL с интерферометром и т.п.)


Цитата(YIG @ Sep 9 2011, 07:27) *
По теме: предвижу победу СВЧ-генераторов, синхронизируемых от Рубидия или GPS.

Долговременная стабильность GPS-ом решается. А при чём тут фаз. шум?

Цитата(rloc @ Sep 9 2011, 07:29) *
Абсолютно согласен с Dr.Drew, не необязательно шум должен спадать 20 log/dec, возможен вариант и 10 log/dec.

Ну, тут не согласиться просто невозможно, что я, вроде, и делаю там же: ”Хотя эта формула определяет четыре основные области зависимости СПМ от отстройки f, в СВЧ-генераторах область с законом вида 1/f обычно отсутствует из-за преобладания шума типа 1/f2.”
Т.е. если рассматривать ”типичные” СВЧ-генераторы (а не низкочастотные КГ или же супер-монстры, как выразился Сергей), то и получаем эту вполне типичную картинку. Если уж влезать в детали, то надо рассматривать кривую фаз. шума как аппроксимацию (урезанную) полиномом. Причём его (полинома) некоторые коэффициенты (фликер) – это вообще, что-то с чем-то, т.к. сами зависят от мощности сигнала и т.д. Так что, если тут копать – то это надолго. Хотелось бы вообще предложить другую аппроксимацию, но это работа уже куда посерьёзней.


Цитата(Dr.Drew @ Sep 9 2011, 08:27) *
Тут, скорее, имеется ввиду не изменение режима усилителя, а его полная замена. Тогда фликкерная граница как бы остаётся на месте, а вся характеристика падает вниз.

В принципе - да, можно сказать так. Хотя я имел в виду просто общий момент – какие параметры на что влияют. А так, конечно, вопрос гораздо тоньше. Если строго, то и увеличение Q, скажем уменьшением связи с резонатором, приводит к ухудшению шумов.

Цитата(Dr.Drew @ Sep 9 2011, 08:27) *
Ещё меня "удивил" линейный закон изменения добротности ДР. Это как? Вроде, обратно пропорциональная зависимость...

...а их добротность, как правило, линейно уменьшается с ростом частоты,” т.е. зависимость Q от частоты обратно пропорциональная, всё верно, в чём удивление? Другое дело, что к слову ”линейно” придраться можно, но так я ж и говорю ”как правило,” чтобы, скажем, просто провести отличие от ЖИГ-ов, где всё происходит наоборот.

Цитата(Dr.Drew @ Sep 9 2011, 08:27) *
И это ещё не всё...

Ну, давайте, чего уж там церемониться. Единственное, хочу заметить, что статья – это вводный обзор, чтобы дать какое-то общее представление и не более того. Понятно, что многие вопросы на самом деле куда более тонкие и специфические, тут несколькими страницами не обойдёшься. Отсюда и 49 источников по теме, что тоже далеко не полный список.

Цитата(YIG @ Sep 9 2011, 09:06) *
P.S. Да ещё, согласно книге Ченакина, воображаемый мультиоктавный суперсинтезатор с суперчистым спектром и субГерцовым шагом должен быть наносекундным по времени перестройки!!!

Откуда там такое? Хотя я и совсем не против наносекунд. Сейчас это пока особо не нужно (за исключением некоторых применений), а вот лет через 5 придётся, видимо, этим заниматься серьёзно (только без банок rolleyes.gif ).
Кстати, спасибо за вирт. клавиатуру, очень даже пригодится.

Автор: YIG Sep 10 2011, 00:26

Цитата(Chenakin @ Sep 9 2011, 22:26) *
Откуда там такое? Хотя я и совсем не против наносекунд. Сейчас это пока особо не нужно (за исключением некоторых применений), а вот лет через 5 придётся, видимо, этим заниматься серьёзно (только без банок rolleyes.gif ).

Разумеется, что там такого нет! Это моё больное воображение нарисовало эдакого монстра по результатам прочтения Вашей книги (1 Глава, п.п. 1.5).
Понятно, что бред, сегодня ничего подобного не сделать, но лет 40 назад и о DDS никто не мечтал, кроме Вашего коллеги Манасевича.
Тут есть пара-тройка мыслей по управлению синтезатором, которых нету в Вашей книге:
1. Управление через такую разновидность параллельного интерфейса, как LVDS даст минимальную задержку срабатывания управления.
2. Такие интерфейсы, как USB, Ethernet, и т.п. дают ощутимое время групповой задержки, что не всегда допустимо в локальных приложениях.
3. Использование такой разновидности последовательного интерфейса, как RS-485 (RS-422) уже сегодня даёт приличные скорости при минимальном времени групповой задержки. При этом, скорость интерфейса постоянно повышается, а простота и универсальность приёмопередатчиков подкупают.
До USB3.0 и Ethernet 10G по скорости далеко, да оно и не надо, за то мы не привязаны к какому-то жёсткому протоколу и можем варьировать разрядность в зависимости от потребности. То же самое справедливо и для последовательного LVDS.

Автор: Dr.Drew Sep 10 2011, 04:00

Цитата(Chenakin @ Sep 9 2011, 22:26) *
...а их добротность, как правило, линейно уменьшается с ростом частоты,” т.е. зависимость Q от частоты обратно пропорциональная, всё верно, в чём удивление? Другое дело, что к слову ”линейно” придраться можно, но так я ж и говорю ”как правило,” чтобы, скажем, просто провести отличие от ЖИГ-ов, где всё происходит наоборот.

Линейно, значит, Q=b-a*f0, где a и b некторые параметры. А Вы под этим термином подразумеваете Q=a/f0, как я сейчас понял. А это уже имеет другое название.

Добавлено.
Не увидел упоминания, что коэффициент шума усилителя нужно брать в режиме большого сигнала.
Часто замечал высказывания, про пользу максимизации мощности на входе резонатора (при фликкер умолчим). А ведь если в кольцевой схеме на выходе резонатора будет 1 или 10 мВт, к примеру, то шумы генератора будут разные. Даже если правильно свяжемся с резонатором, получив половину собственной добротности. Эверард в соей книге про генераторы шумы считает, отталкиваясь от входной мощности усилителя. То же я видел и в статье про 1,3 ГГц генератор от PSI.
Почему-то под керамическими резонаторами подразумеваете только коаксиальные. Кроме них есть ещё дисковые (и кольцевые) керамические, в простонародии называемые ДР. Тут, я думаю, пора разделять понятие ДР на дисковый керамический на волнах типа TE или HE и на дисковый диэлектрический (монокристаллический) на волнах шепчущей налереи.
Опять удивило равенство добротностей ДР и коаксиальных. Коаксиалы же на порядок уступают ДР из-за потерь на проводящих стенках.
Про получение шумов на ФАПЧ с ГУН как у ЖИГ согласен, но с оговоркой про отстройки. До 100 кГц по шумам одинаково, выше - уже нет.
Эквивалентаня добротность оптоволокна - это ГВЗ, умноженная на частоту. Другими словами - число длин волн СВЧ сигнала, укладывающихся на длине линии. Потери тут не фигурируют. Ну и если добротность выражать через отношение времени задержки к потерям, то получится, что добротность будет зависеть от качества волокна,а не от его длины.
6 рисунок - мостовая схема генератора. Лаконично?

Автор: Chenakin Sep 10 2011, 15:50

Цитата(Dr.Drew @ Sep 9 2011, 21:00) *
Линейно, значит, Q=b-a*f0, где a и b некторые параметры. А Вы под этим термином подразумеваете Q=a/f0, как я сейчас понял.

...линейно уменьшается, т.е., да, подразумевалось ~1/f. Я думаю, мы поняли друг друга, хотя фраза была бы и проще, и корректнее, если выбросить слово линейный. Согласен.

Цитата(Dr.Drew @ Sep 9 2011, 21:00) *
Не увидел упоминания, что коэффициент шума усилителя нужно брать в режиме большого сигнала.

По-моему, коэффициент шума – понятие малосигнальное, т.е. теряющее смысл при больших уровнях сигнала. При росте сигнала, понятно, шум растёт (или лучше сказать изменяется) и я бы, вообще, специфицировал шумы активного прибора (для проектирования) в виде какой-то таблицы (в зависимости от вых. мощности). Увы, этого нет. Об этом мы уже говорили в Вашей соседней ветке.

Цитата(Dr.Drew @ Sep 9 2011, 21:00) *
Почему-то под керамическими резонаторами подразумеваете только коаксиальные. Кроме них есть ещё дисковые (и кольцевые) керамические, в простонародии называемые ДР. Тут, я думаю, пора разделять понятие ДР на дисковый керамический на волнах типа TE или HE и на дисковый диэлектрический (монокристаллический) на волнах шепчущей налереи.

Согласен, керамика есть диэлектрик. Но, это уже такие вот исторически сложившиеся и ”устоявшиеся” термины, чего уж там... Кстати, была когда-то мысль провести классификацию в виде такой таблицы с ответвлениями. Может интересная статейка и вышла бы, хотите – попробуем вместе (хотя, может где-то это и есть уже).

Цитата(Dr.Drew @ Sep 9 2011, 21:00) *
Про получение шумов на ФАПЧ с ГУН как у ЖИГ согласен, но с оговоркой про отстройки. До 100 кГц по шумам одинаково, выше - уже нет.

Ну, это мы прямо здесь же уже в деталях обыгрывали. Почему обязательно 100 кГц? Можно и выше, например, –150 дБн/Гц, 3 ГГц, 1 МГц отстройка (CRO в качестве составной части опоры), т.е. замыкать ФАПЧ можно (нужно) уже далеко на МГц-ах. Т.е. концептуально можно и выше - обычный trade-off при проектировании (performance/price).

Цитата(Dr.Drew @ Sep 9 2011, 21:00) *
6 рисунок - мостовая схема генератора. Лаконично?

Да, пожалуй, плюс более общий случай. Ну и другие моменты можно было получше. Сейчас уже и самому видно, но это уже как обычно, после драки..., задней мыслью...

Автор: ledum Sep 10 2011, 17:14

Цитата(Dr.Drew @ Sep 10 2011, 07:00) *
Опять удивило равенство добротностей ДР и коаксиальных. Коаксиалы же на порядок уступают ДР из-за потерь на проводящих стенках.

А давайте сравнивать сравнимые вещи. Только в три раза максимум, а не на порядок, да и то бабка надвое гадала. Воздушный полуволновой 75 Омный (оптимальный для воздуха) коаксиал в 20мм внутреннем габарите и при длине 30мм - для 4ГГц - вроде этот вариант рассматриваем - будет иметь добротность с серебром порядка 6000. У Вас при трехкратном превышении диаметра камеры над резонатором вроде Вы говорили 15000 при похожих, а может и больших габаритах. Это ненагруженная. Потом вступает удобство съема.

Автор: YIG Sep 10 2011, 18:44

Цитата(Chenakin @ Sep 10 2011, 18:50) *
Ну, это мы прямо здесь же уже в деталях обыгрывали. Почему обязательно 100 кГц? Можно и выше, например, –150 дБн/Гц, 3 ГГц, 1 МГц отстройка (CRO в качестве составной части опоры), т.е. замыкать ФАПЧ можно (нужно) уже далеко на МГц-ах. Т.е. концептуально можно и выше - обычный trade-off при проектировании (performance/price).

Вот этот горб длиною в 2 порядка несколько обескураживает:
С одной стороны - во многих радиоприложениях с таким уровнем фазового шума, как у QS, он абсолютно некритичен!
С другой стороны - в приложениях Hi-End T&M он портит всю малину, нарушая пропорцию снижения "ФШ-отстройка"...

Автор: Dr.Drew Sep 11 2011, 04:25

Цитата(Chenakin @ Sep 10 2011, 18:50) *
Согласен, керамика есть диэлектрик. Но, это уже такие вот исторически сложившиеся и ”устоявшиеся” термины, чего уж там... Кстати, была когда-то мысль провести классификацию в виде такой таблицы с ответвлениями.

Надо с терминами определиться. Часто встречаю разночтения, как с коаксиальными/керамическими резонаторами.

Цитата(ledum @ Sep 10 2011, 20:14) *
А давайте сравнивать сравнимые вещи. Только в три раза максимум, а не на порядок, да и то бабка надвое гадала. Воздушный полуволновой 75 Омный (оптимальный для воздуха) коаксиал в 20мм внутреннем габарите и при длине 30мм - для 4ГГц - вроде этот вариант рассматриваем - будет иметь добротность с серебром порядка 6000. У Вас при трехкратном превышении диаметра камеры над резонатором вроде Вы говорили 15000 при похожих, а может и больших габаритах. Это ненагруженная. Потом вступает удобство съема.

Я имел ввиду только коаксиальные керамические. Ясное дело, воздушные с большим сечением будут иметь большую добротность.

Автор: Sergey Beltchicov Sep 12 2011, 13:00

вот так шумят умножители частоты. Фазовый шум на 20 ГГц и 40 ГГц относительно входного сигнала 10 ГГц.

 

Автор: rloc Sep 12 2011, 13:55

Такое впечатление, что использовался активный умножитель на арсениде галлия.

Автор: Sergey Beltchicov Sep 12 2011, 14:41

Цитата(rloc @ Sep 12 2011, 17:55) *
Такое впечатление, что использовался активный умножитель на арсениде галлия.


ну да, GaAs PHEMT

Автор: synthesprom Sep 14 2011, 12:54

Интересно, quick syn используют в России и в мире по большей части как встраиваемый модуль или как отдельный лабораторный прибор?

Автор: Dr.Drew Sep 17 2011, 14:31

Цитата(Chenakin @ Sep 10 2011, 18:50) *
Ну, это мы прямо здесь же уже в деталях обыгрывали. Почему обязательно 100 кГц? Можно и выше, например, –150 дБн/Гц, 3 ГГц, 1 МГц отстройка (CRO в качестве составной части опоры), т.е. замыкать ФАПЧ можно (нужно) уже далеко на МГц-ах. Т.е. концептуально можно и выше - обычный trade-off при проектировании (performance/price)

Да, не обязательно. А вот по поводу минус 150 на 1 МГц у меня уже есть сомнения. Расширение полосы ФАПЧ имеет некоторые пределы, задаваемые максимально допустимой частотой сравнения ЧФД (100 МГц на сегодняшний день). Рекомендуют брать 10% от частоты сравнения для сохранения устойчивости и подавления ПСС. Хотя я экспериментировал и нащупал ограничение на уровне 20-25%. Так вот, 20-25 МГц полоса ФАПЧ - получается предел на сегодня. Если учесть инерционность входа управления ГУН, может оказаться всё трагичнее. Теперь чтобы получить полку минус 150 до 1 МГц, петельку придётся расширить до 10 МГц где-то. И этот уровень шума автоматически получится при использовании ЧФД Хиттайта на частоте сравнения 100 МГц.

Автор: Chenakin Sep 17 2011, 15:52

Цитата(Dr.Drew @ Sep 17 2011, 07:31) *
Да, не обязательно. А вот по поводу минус 150 на 1 МГц у меня уже есть сомнения. Расширение полосы ФАПЧ имеет некоторые пределы, задаваемые максимально допустимой частотой сравнения ЧФД (100 МГц на сегодняшний день). Рекомендуют брать 10% от частоты сравнения для сохранения устойчивости и подавления ПСС. Хотя я экспериментировал и нащупал ограничение на уровне 20-25%. Так вот, 20-25 МГц полоса ФАПЧ - получается предел на сегодня. Если учесть инерционность входа управления ГУН, может оказаться всё трагичнее. Теперь чтобы получить полку минус 150 до 1 МГц, петельку придётся расширить до 10 МГц где-то. И этот уровень шума автоматически получится при использовании ЧФД Хиттайта на частоте сравнения 100 МГц.

Сомнения какие, что можно сделать или что нельзя сделать? rolleyes.gif

P.S. Вдобавок к Вашим рассуждениям:
- ФД можно сделать и получше, например на диодном смесителе
- Критерий подавления ПСС в 10% можно обходить использованием LC-контуров, которые очень мало влияют на фазу
- Использование умножителя в петле уменьшает собственные шумы ФД (но не опоры, естественно)
- Чем ниже нужны шумы, тем выше нужно уходить вверх (архитектура, i.e. можно получить и похуже шумы на более высокой частоте, а потом просто поделить вниз)
Тут можно долго продолжать. Кстати, я и не говорю, что сделать это просто (уже на нескольких МГц начинаются такие выкрутасы с фазой....) или обязательно нужно это делать. Всего лишь, что это возможно. Сможете опровергнуть?

Автор: Dr.Drew Sep 17 2011, 17:33

Сомнения, что можно, но это уже вопрос частностей.
Насчёт ФД на смесителене не совсем согласен. Я им "наелся" когда делал синхронизацию КР ГУН от гармоники кварцевого генератора. Там не всё так просто. Оказывается, ФШ, наводимый ФД зависит и от крутизны ГУН. Вообще я этот момент подробно рассматривал и появлялось желание оформить это дело в виде статьи. Но останавливает то, что тема стара и избита. Хотя подобного анализа системы я не встречал.
Ну и по поводу 10 % тоже. Там уже начинает сказываться набег фазы за счёт дискретности ЧФД (отсчёты фазы беруться с некоторым интервалом ведь). Причём от него уже никак не избавишься - устойчивость на пределе становится. И ПСС тут не главное уже. А если их надо подавить, то изыски с LC могут сыграть в худшую сторону.

Автор: Sergey Beltchicov Sep 27 2011, 16:27

Вот первые результаты по ФШ прототипа синтезатора 4-10 ГГц. Измерение ФШ несущей 10 ГГц с копейками. 10 ГГц сносятся вниз при помощи вспомогательного SLCO и DBM.

 

Автор: synthesprom Sep 28 2011, 07:38

Да, детка! sm.gif
Наверное опорник типа Pascal и прецизионный источник питания.
Стоит ли игра свечь? Сколько такая штука будет стоить?

Автор: YIG Sep 28 2011, 09:06

Поздравляю! a14.gif А другие характеристики (прежде всего шаг и скорость перестройки) можете огласить?

Автор: Sergey Beltchicov Sep 28 2011, 09:22

Цитата(YIG @ Sep 28 2011, 13:06) *
Поздравляю! a14.gif А другие характеристики (прежде всего шаг и скорость перестройки) можете огласить?


Шаг - любой (0.001 Гц). Скорость единицы мсек. Это же ЖИГ.
Для скорости позже будем делать прямой аналоговый синтез.
У современных ГУНов плохие шумы - слишком широкие петли нужно городить.

Цитата
Наверное опорник типа Pascal и прецизионный источник питания.
Стоит ли игра свечь? Сколько такая штука будет стоить?


Нет не Паскаль. А стоить будет дорого.

Автор: khach Sep 28 2011, 09:40

А можно показать спектр пораженной точки (пораженка любого типа по Вашему выбору)? Или пораженок нет вообще по диапазону?

Автор: synthesprom Sep 28 2011, 09:43

Это не паскаль...стоить будет дорого...
Люблю интриги! sm.gif
Спектр чистили или нет? Я имею ввиду гармоники и негармонические.

Автор: Sergey Beltchicov Sep 28 2011, 09:47

Цитата(khach @ Sep 28 2011, 13:40) *
А можно показать спектр пораженной точки (пораженка любого типа по Вашему выбору)? Или пораженок нет вообще по диапазону?


Вот пара картинок спектра. Спуры должны быть на уровне -80дБ.

 

Автор: synthesprom Sep 28 2011, 09:50

А вообще интересно - сразу налетели все, как коршуны. Щас искать подвох будем, до тех пор рока не найдём sm.gif Я так понял, это нормальная судьба для каждого новоиспечённого синтезатора на данном форуме sm.gif

Автор: Sergey Beltchicov Sep 28 2011, 09:53

Цитата(synthesprom @ Sep 28 2011, 13:43) *
Это не паскаль...стоить будет дорого...
Люблю интриги! sm.gif
Спектр чистили или нет? Я имею ввиду гармоники и негармонические.

Гармоники, ясное дело, будем чистить. Вы поймите - это пока ядро, которое вряди ли будет продаваться в отдельном виде. А генератор на его основе 0.01 МГц-20 ГГц будет иметь и блок фильтров на гармоники, и опциональный усилитель повышенной мощности, аттенюатор и т.д. и т.п.

Автор: synthesprom Sep 28 2011, 10:04

"Гармоники, ясное дело, будем чистить"

Удивительно, но это ясно не для всех. Мы тоже этим страдаем. Я до сих пор не знаю, зачем именно, но в нашем синтезаторе до 10 ГГц они на 60 дб давиться будут. Правда у нас пока только электрическая схема готова и все фильтры промоделированы, поэтому графики позже. А спуры в плане тоже 80, товарищ!

Автор: khach Sep 28 2011, 10:44

Цитата(Sergey Beltchicov @ Sep 28 2011, 11:47) *
Вот пара картинок спектра. Спуры должны быть на уровне -80дБ.

Спасибо большое, но имелось ввиду нечто другое- спектр фазовых шумов в том случае, когда частота сигнала равна или близка частоте спура (спур попадает в полосу ФНЧ синтезатора).

Автор: Sergey Beltchicov Sep 28 2011, 11:13

Цитата(khach @ Sep 28 2011, 14:44) *
Спасибо большое, но имелось ввиду нечто другое- спектр фазовых шумов в том случае, когда частота сигнала равна или близка частоте спура (спур попадает в полосу ФНЧ синтезатора).


У нас отсутствует умножение DDS - поэтому спуры такие же, какие дает 9912 в полосе 20 МГц при клоке 1 ГГц - сейчас недосуг их искать.

Автор: YIG Sep 28 2011, 11:38

Цитата(Sergey Beltchicov @ Sep 28 2011, 14:13) *
У нас отсутствует умножение DDS - поэтому спуры такие же, какие дает 9912 в полосе 20 МГц при клоке 1 ГГц - сейчас недосуг их искать.

Они вроде ка есть в даташите и здесь: http://electronix.ru/forum/index.php?showtopic=67684&st=45

Автор: Dr.Drew Sep 28 2011, 11:52

Ложка дёгтя!
А зачем такая мощная опора для таких высоких шумов?
У меня есть некоторые сомнения касаемо применения сапфиров в широкополосных синтезаторах. Уж очень своебразная штука. Шумы всё равно портятся на два порядка, а цену приходится платить бешенную. ДР в этом смысле удобнее, дешевле и меньше. Присобачить копеечную КСС не проблема - и хорошая опора в кармане. Но и это тоже очень хорошо получается. Я тут ставлю эксперименты с субгармоническим конвертором. Там расчётная чувствительность на уровне минус 141-140 на 8 ГГц получается при замкнутой петле. А кварц с минус 180 остаточным умножить, думаю, можно без потерь. Так что на 8 ГГц будет где-то минус 138. Где-то рядом с Сапсинтом.

Автор: Sergey Beltchicov Sep 28 2011, 11:55

Цитата(Dr.Drew @ Sep 28 2011, 15:52) *
А кварц с минус 180 остаточным умножить, думаю, можно без потерь.


Это Вы так думаете - а на самом деле будет как у PSG/UNY и R&S FSW.

Умножение достигло своих пределов в указанных девайсах. А наша схема будет эволюционировать с появлением лучших компонентов: делителей, ЧФД, DDS и т.д.

Автор: Dr.Drew Sep 28 2011, 12:06

Видел в одном из своих ФАПЧей приведённый минус 175 к 4 ГГц. Так что не всё так плохо...
Но, в-общем, подход близок к пределу. Благо, конвертор гибкий относительно опоры - можно прямую генерацию организовать.

Автор: rloc Sep 28 2011, 13:23

Цитата(Dr.Drew @ Sep 28 2011, 16:06) *
Видел в одном из своих ФАПЧей приведённый минус 175 к 4 ГГц. Так что не всё так плохо...

Присоединяюсь, минус 175 тоже вижу. Нашел фирму, готовую резонаторы на 5 мВт сделать. По всем расчетам -180 должно привестись.

Автор: synthesprom Sep 28 2011, 13:34

"А наша схема будет эволюционировать с появлением лучших компонентов: делителей, ЧФД, DDS и т.д."

Прямо первомайские лозунги!
Вроде бы у нас все разработки на DDS, радоваться что ли?

Автор: rloc Sep 28 2011, 13:46

Цитата(synthesprom @ Sep 28 2011, 17:34) *
Прямо первомайские лозунги!
Вроде бы у нас все разработки на DDS, радоваться что ли?


У человека богатый опыт, а Вы нам пока кроме громких слов ничего не показали. DDS тут не причем, будьте осторожны в высказываниях.

Автор: Sergey Beltchicov Sep 28 2011, 14:04

Цитата
Вроде бы у нас все разработки на DDS, радоваться что ли?

Это да, но не для всех схем неумноженный DDS (т.е. с шумом <-150) является одним из ограничивающих элементов.

Цитата
Присоединяюсь, минус 175 тоже вижу. Нашел фирму, готовую резонаторы на 5 мВт сделать. По всем расчетам -180 должно привестись.

rloc, отношусь к Вам и Вашим постам с большим уважением, но не соглашусь с Вами до тех пор, пока не увижу хоть какой-то измеренный график, где будут 4 ГГц-5ГГц (умноженные от 100МГц) с шумом -143@10кГц.

Да и E5052 не видит таких шумов (-143@10кГц) напрямую, а к результатам с корреляциями я с некоторых пор (с тех пор, когда провел несколько измерений с переносом вниз) отношусь несколько скептически.

Автор: rloc Sep 28 2011, 14:27

Цитата(Sergey Beltchicov @ Sep 28 2011, 18:04) *
но не соглашусь с Вами до тех пор, пока не увижу хоть какой-то измеренный график, где будут 4 ГГц-5ГГц (умноженные от 100МГц) с шумом -143@10кГц.

Абсолютно нечем возразить, только FF-усилитель подготовил с расчетной полкой -185 дБн, думаю пока как фликкер мерить, а остальная комплектация в лучшем случае через 4 месяца будет.

Автор: Sergey Beltchicov Sep 28 2011, 15:00

Цитата(rloc @ Sep 28 2011, 18:27) *
Абсолютно нечем возразить, только FF-усилитель подготовил с расчетной полкой -185 дБн, думаю пока как фликкер мерить, а остальная комплектация в лучшем случае через 4 месяца будет.

Боюсь, через 4 месяца Вас ждет разочарование. Я имею в виду, на четырех-пяти гигах.

Автор: rloc Sep 28 2011, 15:58

У меня сверх задач не стоит, устроит любой результат. Вам известны архитектурные решения PSG? До 300 Гц вижу шумы 10 МГц кварцевого генератора.

Автор: YIG Sep 28 2011, 16:26

Цитата(synthesprom @ Sep 28 2011, 16:34) *
Прямо первомайские лозунги!
Вроде бы у нас все разработки на DDS, радоваться что ли?

Не знаю как у Вас, у меня пока DDS не прижились, а основой этой разработки являются крутая СВЧ-опора и ЖИГ-генератор, DDS там лишь придаток, обеспечивающий мелкий шаг и загрязняющий спектр мусором, если я правильно понял архитектуру.

Сергей, есть одна идея по очистке спектра синтезатора ЖИГ-фильтром на основе идеи, подсказанной мне Александром Ченакиным ранее в этой теме http://electronix.ru/forum/index.php?showtopic=84312&st=104. Речь идёт о схеме с автоматической подстройкой ЖИГ-фильтра, работающего на склоне своей характеристики. Моя идея состоит в том, чтобы использовать не один узкополосный ЖИГ-фильтр, а два, первый работает на левом склоне, второй - на правом. Палки останутся только в самой ближней зоне, чего можно в дальнейшем попробовать избежать.

Автор: Sergey Beltchicov Sep 28 2011, 21:26

Цитата(rloc @ Sep 28 2011, 19:58) *
У меня сверх задач не стоит, устроит любой результат. Вам известны архитектурные решения PSG? До 300 Гц вижу шумы 10 МГц кварцевого генератора.


Практически не сомневаюсь, что в основе PSG/UNY лежат умноженные 100 МГц, захваченные с узкой петлей (200-300 Гц) от чистых 10 МГц.
Мы также можем ввести дополнительную петлю - есть генератор 10 МГц который имеет -160@100Гц, что даст -140 на частоте 100 МГц и -100 на частоте 10 ГГц.

Плюс не забываем, что пока в Сапсинте опора без КСС. А на самом деле мы собираемся получить <-130@1кГц и -110@100Гц на 10 ГГц. Эти цифры недостижимы для мультипликативных систем.

Вообще задумайтесь: есть Вензель (BluePhase), есть Аджилент (гетеродин для E5052A и PSG/UNY), есть Aeroflex FS5000, есть гетеродин для R&S FSW, есть гетеродин для Белан 240/400. И все на 10 ГГц имеют результаты +/-(1-2) дБ относительно цифры -128. Все лохи и не могут правильно умножить 100 МГц? Где публикации и коммерческие продукты, подтверждающие возможность умножения без потерь хотя бы -175.

Цитата
Сергей, есть одна идея по очистке спектра синтезатора ЖИГ-фильтром на основе идеи, подсказанной мне Александром Ченакиным ранее в этой теме здесь. Речь идёт о схеме с автоматической подстройкой ЖИГ-фильтра, работающего на склоне своей характеристики. Моя идея состоит в том, чтобы использовать не один узкополосный ЖИГ-фильтр, а два, первый работает на левом склоне, второй - на правом. Палки останутся только в самой ближней зоне, чего можно в дальнейшем попробовать избежать.


Эти палки нас пока волнуют мало. Вот что волнует - так это имеет ли 439QS16G заявленные -153 на частоте сравнения 100 МГц, так как у нас не хватает 6-7дБ в петле. У кого-нибудь есть результаты, подтверждающие спецификацию Хиттайта?

Автор: rloc Sep 28 2011, 21:48

Цитата(Sergey Beltchicov @ Sep 29 2011, 01:26) *
Где публикации и коммерческие продукты, подтверждающие возможность умножения без потерь хотя бы -175.

Indirect analog synthesizer utilizing direct analog fractional frequency multiplier approach
 US7508275.pdf ( 254.51 килобайт ) : 252


Чтобы отбить стоимость сапфира, на мой взгляд, надо иметь шумы хотя бы на 20 дБ лучше умноженного кварца. Разница в 10 дБ чувствительности для таких шумомеров, как E5052, легко догоняется кросс-корреляцией.

Автор: Sergey Beltchicov Sep 28 2011, 22:26

В приведенном Вами патенте приведенный шум - 170 (-134 на 6 ГГц). Если Вы посмотрите мои ранние посты, то увидите, что именно -170 я называл пределом для умноженных 100 МГц. В Белан 240/400 мы имеем гармоники на 4-5 ГГц с уровнем -135. В петле шум, соответственно, на пару дБ поднимается.

Цитата
Чтобы отбить стоимость сапфира, на мой взгляд, надо иметь шумы хотя бы на 20 дБ лучше умноженного кварца.

Собственно, задача так и стоит. Осталось выяснить, где потерялись 6-7дБ... Короче, есть над чем работать.

Автор: nickes Sep 28 2011, 22:54

Цитата(Sergey Beltchicov @ Sep 29 2011, 01:26) *
В приведенном Вами патенте приведенный шум - 170 (-134 на 6 ГГц). Если Вы посмотрите мои ранние посты, то увидите, что именно -170 я называл пределом для умноженных 100 МГц. В Белан 240/400 мы имеем гармоники на 4-5 ГГц с уровнем -135. В петле шум, соответственно, на пару дБ поднимается.


Собственно, задача так и стоит. Осталось выяснить, где потерялись 6-7дБ... Короче, есть над чем работать.

Доброй ночи.

Вопрос скорее к Сергею Бельчикову

А Вы никогда не пробовали использовать комбинацию ПАВ фильтров(допустим на 500МГц, полоса200-300КГц(по памяти)) и умножителя добротности в цепях промежуточной фильтрации умножителя частоты. Я понимаю, что узел неустойчивый и т.д. У самих руки не доходят, решил задать вопрос.
P.S. Откровенно говоря не понял, что такого необыкновенного в приведенном патенте. По сути, вариации на тему синтезатора со стробоскопическим(или обычным, как хотите) смесителем и захватом петли по некой ПЧ.

Автор: rloc Sep 28 2011, 23:03

Цитата(Sergey Beltchicov @ Sep 29 2011, 02:26) *
В приведенном Вами патенте приведенный шум - 170 (-134 на 6 ГГц).

Такое впечатление, что в статье на 10 кГц - фликкер генератора или умножителя, те самые 10 дБ/дек. Не могу пока найти данных, какой фликкер у варикапов, чтобы опровергнуть саму мысль об умножении без потерь.

Цитата(nickes @ Sep 29 2011, 02:54) *
P.S. Откровенно говоря не понял, что такого необыкновенного в приведенном патенте.

Только пара графиков ФШ, для иллюстрации, не более.

Автор: Chenakin Sep 29 2011, 03:57

Цитата(Sergey Beltchicov @ Sep 27 2011, 09:27) *
Вот первые результаты по ФШ прототипа синтезатора 4-10 ГГц. Измерение ФШ несущей 10 ГГц с копейками. 10 ГГц сносятся вниз при помощи вспомогательного SLCO и DBM.

Сергей, искренне рад Вашим успехам.
Кстати, QS в сравнительный график можно не включать, всё-таки, он разрабатывался совсем для другой рыночной ниши. Относительно низкий фаз. шум – это уже “побочный эффект” (хотя и приятный), который возник по ходу дела. –130 полка (PSG) получается относительно просто использованием лучшего OCXO. А вот дальше уже действительно становится интересно, в том числе и из-за умножения. Здесь я солидарен с Вами, и я бы сказал, даже более консервативен. Отталкиваться от чего-то ниже, чем –170 на 100 МГц для того, что пойдёт в серию, видится не целесообразным (разве что, extra margin добавить). И дело, даже, не в том, можно или нет (re: комментарий rloc), а в том, что другие виды опор (не обязательно сапфир) становятся архитектурно более эффективны.


Цитата(Dr.Drew @ Sep 28 2011, 04:52) *
Ложка дёгтя!
А зачем такая мощная опора для таких высоких шумов?
У меня есть некоторые сомнения касаемо применения сапфиров в широкополосных синтезаторах. Уж очень своебразная штука. Шумы всё равно портятся на два порядка, а цену приходится платить бешенную.

Ну, с дёгтем, наверное, не стоит, результаты-то не тривиальные. Цена и “своеобразие” сапфира известны (у PSI его top-end-ы тоже не слишком-то “на ура” идут), но, я думаю, Сергей это всё делает не для собственного удовольствия (хотя почему и не без этого?), рынок должен просчитывать.
Я вижу ценность эксперимента ещё и в том, что Сергей полку уже до –140 дотянул и даже перевалил (а это, кстати, как раз эквивалентно -150 / 1 МГц для того 3 ГГц CRO примера, что мы тут обсуждали). Сколько там осталось, чтоб забить последний гвоздь в крышку ЖИГ-а? (шучу, конечно, там ещё полосу ФАПЧ расширять надо и т.д. - это уже к нашему давнему спору на тему “ЖИГ или не ЖИГ” rolleyes.gif ).
В общем, надо признать, что картинка очень красивая получилась.

Автор: ledum Sep 29 2011, 07:58

Цитата(rloc @ Sep 29 2011, 02:03) *
Не могу пока найти данных, какой фликкер у варикапов, чтобы опровергнуть саму мысль об умножении без потерь.

Кхм, фликкер - шум вариации условий проводимости на постоянном токе - неоднородности в канале проводимости и генерационно-рекомбинационный шум (например, в базе). Варикап ток не проводит, если это не некоторые разновидности NLTL умножителей. Утечки, да, подшумливают, заход в лавинный пробой тоже, но это совсем другой шум. Что касается варикапных умножителей - две статьи http://electronix.ru/redirect.php?http://etd.gatech.edu/theses/available/etd-07062004-120727/unrestricted/Park_Youngcheol_200408_phd.pdf (4МБ!) в конце 4 главы и неоднократно упоминавшаяся здесь http://electronix.ru/redirect.php?http://ecee.colorado.edu/microwave/docs/theses/jasonb_phd_thesis.pdf (Design and Characterization of Low Phase Noise Microwave Circuits) в районе рис 5.8 (там где NLTL) весьма положительно отзывается о свойствах варикапов как умножителей.
Ну а что касается шумового пола, лет 25 назад у генераторов на линиях задержки на ПАВ мы ничем не могли померять - настолько он был мал. Даже ИФ-1103СА. Сейчас, конечно, вижу, что есть чем. Но все равно. Пара статеек http://electronix.ru/redirect.php?http://www.ieee-uffc.org/ultrasonics/teaching/u9410043.pdf и http://electronix.ru/redirect.php?http://tf.nist.gov/general/pdf/1090.pdf - STW генераторы от тех же авторов (Parker, Montress), что и древняя сатья по ПАВ в первой ссылке.

Автор: synthesprom Sep 29 2011, 08:11

Картинка, символизирующая развитие науки. Я считаю, что всегда так надо сравнивать. Где-то 4 года назад мы сделали синтезатор до 125 МГц и когда мерили параметры у коллег на предприятии, то сняли фаз. шум нашего прибора на частоте 125 МГц и фазовый шум генератора R&S до 3 ГГц (не помню точно, какой марки) на этой же частоте. С точки зрения науки, это, конечно же, ни о чём серьёзном не говорило. Но с точки зрения маркетинга, картинка помогла найти партнёров для более серьёзных разработок. Поэтому от всей души желаю Сергею удачи!

В сравнении

 

Автор: rloc Sep 29 2011, 08:37

Цитата(synthesprom @ Sep 29 2011, 12:11) *
фаз. шум нашего прибора на частоте 125 МГц и фазовый шум генератора R&S до 3 ГГц (не помню точно, какой марки) на этой же частоте.

Могу Вас огорчить, у R&S шумы на 125 МГц скорей всего получаются переносом с высокой частоты. Если Ваш график сместить вверх на 30 дБ, стыдно будет такую картинку кому-нибудь показывать.

Автор: synthesprom Sep 29 2011, 08:49

Цитата
у R&S шумы на 125 МГц скорей всего получаются переносом с высокой частоты. Если Ваш график сместить вверх на 30 дБ, стыдно будет такую картинку кому-нибудь показывать


Вы что-то странное пишите. Какая разница, кто, что и какими методами умножает, делит, вычитает и складывает. Мы сравнивали на конечном выходе одну конкретную частоту в одинаковых условиях. А что там было бы, если бы поднять, приподнять или спустить, никого не волнует.

Автор: rloc Sep 29 2011, 09:56

Тогда для сравнения надо брать не первый попавшийся генератор, а 2-3 лучших в этом диапазоне с соответствующими опциями.

Автор: synthesprom Sep 29 2011, 10:09

Вы полностью правы. Как раз это я и имел ввиду. С точки зрения науки - надо. С точки зрения установления важных деловых контактов - подойдёт первый попавшийся, лишь бы показать себя в нужном свете.

Автор: Dr.Drew Sep 29 2011, 15:33

Цитата(Sergey Beltchicov @ Sep 29 2011, 00:26) *
Вот что волнует - так это имеет ли 439QS16G заявленные -153 на частоте сравнения 100 МГц, так как у нас не хватает 6-7дБ в петле. У кого-нибудь есть результаты, подтверждающие спецификацию Хиттайта?

Результаты есть, но не у меня, а у соседа. Всё, что могу сказать.
Цитата(Chenakin @ Sep 29 2011, 06:57) *
Ну, с дёгтем, наверное, не стоит, результаты-то не тривиальные. В общем, надо признать, что картинка очень красивая получилась.

Не спорю. Прототип быстро сделали, похоже - вот, что нравится. На сапфир я всё-таки скептически смотрю пока. Уж больно габаритный генератор получается. Думаю делать то же, но на ДР. Тем более, наработки по генератору уже есть. До прототипа синтезатора пока далеко - много тем в последний месяц на меня "упало" и ещё добавится, а я один. Так что остаётся поздравить Сергея и позавидовать.

Автор: YIG Sep 29 2011, 17:04

Цитата(rloc @ Sep 29 2011, 11:37) *
Могу Вас огорчить, у R&S шумы на 125 МГц скорей всего получаются переносом с высокой частоты. Если Ваш график сместить вверх на 30 дБ, стыдно будет такую картинку кому-нибудь показывать.

Как я давно уже понял, здесь участники спокойно сравнивают несравнимые вещи.
Например, Сергей по фазовому шуму обошёл Александра, хотя у Ченакина время перестройки 50 мкс против нескольких (может и десятков) мс у Бельчикова, но у них хоть на одних и тех же частотах. Шельмовство в области частот имхо вообще недопустимо. Надо совершить какое-то неизвестное в науке и технике чудо, чтобы перенести Паскаль со 100 МГц на 10 ГГц абсолютно без потерь.
Ну и вопрос цены, массы, габаритов и условий эксплуатации, конечно, тоже не являются второстепенными, иногда они поважнее шумов, спур и скорости будут...

Автор: ledum Sep 29 2011, 18:15

Цитата(Dr.Drew @ Sep 29 2011, 18:33) *
Результаты есть, но не у меня, а у соседа. Всё, что могу сказать.

Интригуете или интрижничаете? Неужели Хиттайты врут? Не замечалось за ними раньше. Ведь пол в минус 233 в целочисленке - те же минус 153 на 100 - у них у синтезов чуть ли не стандарт - первое, что в голову пришло 698 или 704 - правда катострофически деградируют при падении мощности с +5дБм, но ведь у них помимо ЧФД еще в тракте перекачка заряда и делитель, которые, по идее, только увеличить ФОМ могут

Автор: Dr.Drew Sep 30 2011, 01:30

Цитата(ledum @ Sep 29 2011, 21:15) *
Интригуете или интрижничаете? Неужели Хиттайты врут? Не замечалось за ними раньше.

439 - вредный ЧФД. Наши тоже с ним наелись пока получали заявленные характеристики. Всё-таки дожали, но деталей я не знаю. Но это, что касается частоты 100 МГц. При её снижении шум не падает пропорционально. Или до конца не получилось дожать. Но это для нас не важно.

Автор: YIG Sep 30 2011, 04:26

Цитата(Sergey Beltchicov @ Sep 29 2011, 00:26) *
Эти палки нас пока волнуют мало.

Шутить изволите? Делаете "крутейший" генератор и палки Вас не волнуют?
Невольно вспомнились генератор Hittite (не помню наименование, сейчас его уже нет на сайте), где производители "забыли" указать уровень паразитного сигнала в спектре. Ну и радиолюбительские генераторы R&S тоже прикололи! lol.gif

Автор: ledum Sep 30 2011, 06:43

Цитата(Dr.Drew @ Sep 30 2011, 04:30) *
При её снижении шум не падает пропорционально.

Вчера на эту непропорциональность наткнулись и мы. На ADF4002, (хотя с 439 есть банальная мысль почему это происходит - крутизна изменения сигнала падает - у них очень чувствительны схемы к скорости изменения сигнала - должен пол расти, надо раскачивать сигнал). Пришлось облазить инженерскую зону ADI. И здесь вдруг всплывает - они столкнулись с похожим, но противоположным явлением на частотах ФД 4002 выше 10МГц - так, как будто шумовой пол растет. Тихонько в ADiSimmPLL ввели 1/f параметр, который, как кто-то из их поддержки сказал, вообще к фликкеру не имеет никакого отношения, но позволяет описать поведение шумов, при этом сильно не засвечивая проблему. Глянул - таки да (см. приложение - внизу). Есть такой параметр, о котором в даташите ничего не видел. Вообще прикольные ребята. На моей тачке не запускалась их плата ADF4350. Оказалось не у меня одного. Разбирались они с каким-то сингапурцем, в конце концов мужик из АД выложил софт для ADF4351 - говорит китайцу - бери, только учти, некоторые фичи не будут работать (по ходу в соседней теме подсказал, что они попытаются поднять со 125кГц до 1МГц частоту выбора поддиапазонв, т.е. задержка будет не 80мкс, а в 8 раз меньше). У мужика заработало. У меня тоже. Исходники драйверов на сайпрес ЮСБишный в личку раздают, хотя Сайпрес обычно требует подписания соглашения. Еще одному мужику потребовалось получше шумы на AD9951 буквально на децибелл-два и на спур попал - ему - не парься сделай клоковую 500МГц- будет классно работать, шумы размажутся шире, капельку подупадут, спур сдвинется. Челюсть подбирал долго. Да и опять попал на Вашу старую переписку по 9912 - ребята простые, честно все сказали.
Дополнение
Нашел в новом даташите рев.B (в рев.А - нет) якобы фликкер у ADF4002 на 4 странице с 7 примечанием

 

Автор: Sergey Beltchicov Sep 30 2011, 08:04

Цитата(YIG @ Sep 30 2011, 08:26) *
Шутить изволите? Делаете "крутейший" генератор и палки Вас не волнуют?


Нет, меня они не волнуют в том смысле, что они будут, как минимум, не хуже палок в PSG, который де-факто стандарт в отрасли. И искать спуры на низком уровне (<-80дБ) в прототипе - значит тратить много времени, которое можно употребить с большей пользой. В широкополосном синтезаторе никто не станет нормировать Вам спуры на уровне -100дБ.

Предложенный Вами метод подавления спуров с двумя ЖИГ-фильтрами, думаю, что идея из разряда тех, которые на практике лучше не реализовывать.
Самый правильный способ - это банк малошумящих ГУНов, которые поочередно захватываются DDSом плюс переменное тактирование. Плюс делать то же, что и rloc - если вопрос о спурах станет ребром. И, конечно, не умножать DDS (FPGA+ЦАП).

Цитата
хотя у Ченакина время перестройки 50 мкс против нескольких (может и десятков) мс у Бельчикова, но у них хоть на одних и тех же частотах.


Понимаете, концептуально махнуть ЖИГ на ГУН - нет особых проблем - знай, расширяй петлю при той же опоре. Но вот мое мнение, что QS - это уже очень близко к пределу по шуму для синтезаторов на ГУНах типа НMC587. Если Вы внимательно взглянете на приведенный мной (измеренный) график QS, то увидите, что он не дотягивает до -140 даже на отстройке 10 МГц. Для меня, например, не факт, что реально захватить ГУН чистой опорой (составной или любой другой) с полосой петли прилично больше 10 МГц и что такая петля будет устойчива. Поэтому если нужны и шумы не уровне Сапсинта и скорость на уровне или выше QS, то добро пожаловать в прямой аналоговый синтез, если у Вас есть соответствующий бюджет. Или ждем появления качественно новых компонентов.

Кстати, еще один момент. Когда идет дискуссия на тему, насколько нужно сделать традиционные малошумящие синтезаторы по шуму, чтобы использование дорогостоящих СВЧ опор стало целесообразным. Что, например, гетеродины E5052A легко добирают свое кросс-корреляциями. Так вот: не добирают. Я на E5052A на отстройке 10кГц не вижу разницы между 100 и 10000 корреляциями. А сделав перенос вниз, вижу, что шум ниже и значительно, чем то, что показывает прибор на 100 корреляциях. И время - сколько его нужно, чтобы их выполнить. Настройка синтезатора, например, настройка его полосы петли должна проводиться при сырых параметрах прибора типа E5052A (без корреляций). И вот здесь добавка даже 10 дБ (хотя к сырому уровню E5052A мы уже добавили, как минимум 15 дБ) очень критична. Так что измеритель фазовых шумов на базе Сапсинта, может быть, даже более перспективная вещь, чем сам синтезатор.

Автор: YIG Sep 30 2011, 09:12

Цитата(Sergey Beltchicov @ Sep 30 2011, 11:04) *
Нет, меня они не волнуют в том смысле, что они будут, как минимум, не хуже палок в PSG, который де-факто стандарт в отрасли. И искать спуры на низком уровне (<-80дБ) в прототипе - значит тратить много времени, которое можно употребить с большей пользой. В широкополосном синтезаторе никто не станет нормировать Вам спуры на уровне -100дБ.

Понятно, но кто говорит о том, чтобы искать их, идея как раз в том, чтобы убить их (спуры, субгармоники, гармоники) на корню и не тратить на них никакого времени, так как после двух ЖИГ-ов в широкой полосе (скажем после 1 МГц) их там не будет с вероятностью 99.99%, если фильтровать сигнал на выходе генератора.
Цитата(Sergey Beltchicov @ Sep 30 2011, 11:04) *
Предложенный Вами метод подавления спуров с двумя ЖИГ-фильтрами, думаю, что идея из разряда тех, которые на практике лучше не реализовывать.

Почему? Впрочем, моё дело предложить - Ваше право отказаться! Просто у меня свернули тот медленный проект, в котором я собирался это применить...
Цитата
Самый правильный способ - это банк малошумящих ГУНов, которые поочередно захватываются DDSом плюс переменное тактирование. Плюс делать то же, что и rloc - если вопрос о спурах станет ребром. И, конечно, не умножать DDS (FPGA+ЦАП).

С первым пока не разбирался, но если речь идёт о узкополосных ФАПЧ, то насколько малошумящими должны быть ГУНы и сколько их должно быть, чтобы заменить DDS?
Со вторым мы кажется пришли к общему выводу в соседней теме: + смешивать с опорой DDS, фильтровать, делить.
Тут задача как бы расщепилась на две: высокоскоростная фильтрация DDS и низкоскоростная фильтрация сигнала на выходе генератора.

Автор: Sergey Beltchicov Sep 30 2011, 09:55

Цитата(YIG @ Sep 30 2011, 13:12) *
Понятно, но кто говорит о том, чтобы искать их, идея как раз в том, чтобы убить их.

Угу, а как вы убедитесь, что их убили? Я говорю: и так убиты до уровня -80. Дальше проверить проблема. Временная. И с точки зрения наличия "безспуровых" инструментов анализа.
Цитата
С первым пока не разбирался, но если речь идёт о узкополосных ФАПЧ, то насколько малошумящими должны быть ГУНы и сколько их должно быть, чтобы заменить DDS?

ГУН нужен для того, чтобы давить спуры за полосой петли, в петле остается DDS, петля достаточно широкая. Посколько частоты невысокие, малошумящие
ГУНы найти не проблема.
Цитата
Со вторым мы кажется пришли к общему выводу в соседней теме: + смешивать с опорой DDS, фильтровать, делить.


к "выводу-то Вы пришли", а синтезаторы по этой схеме (особенно сверхмалошумящие) делать никто не торопится. Все малошумящие синтезаторы сделаны на оффсетной схеме и ее вариациях. Деление сужает полосу перестройки DDS. Есть шанс не дотянуться до следующей опорной точки в сетке частот. А если брать до деления изначально широкую полосу, то там-то и есть спуры (в том числе, кратные клоку - т.е. максимального уровня), так что во многих ситуациях - перенос вверх и деление это масло-масляное. Это один из трюков (типа умножения петли), который красиво выглядит концептуально, но круг применений ограничен.

Автор: khach Sep 30 2011, 11:18

Цитата(ledum @ Sep 30 2011, 08:43) *
Вчера на эту непропорциональность наткнулись и мы. На ADF4002,
<skip>
И здесь вдруг всплывает - они столкнулись с похожим, но противоположным явлением на частотах ФД 4002 выше 10МГц

А пробовали в качестве контрольного измерения применить синтезатор на ADF4193 c ФД с внешними малошумящими ключами? Есть ли какая-нибудь "стандартная" схема обвязки ADF4193 которую можно было бы применить в качестве эталона малошумящего ФД? Понятно, что токовые ключи на биполярниках много жрут и много места занимают, но для макета такую петлю иметь желательно.



Цитата(Sergey Beltchicov @ Sep 30 2011, 11:55) *
Угу, а как вы убедитесь, что их убили? Я говорю: и так убиты до уровня -80. Дальше проверить проблема. Временная. И с точки зрения наличия "безспуровых" инструментов анализа.

Так тут еще вопрос, как ведет себя спур при "наезде" на него основной спектральной линией. Если спур тихо мирно тонет в крыльях фазового шума- то тут проблем нет. А вот если наезд на спур приводит к тому, что линия начинает "махать" крыльями фазовых шумов, или на них появляются "многоушия бетмена" (наверно комбинашки спура с частотами в петле) -то тут уже проблемы. И для решения этих проблем приходится перекраивать блок-схему синтезатора.


Цитата(YIG @ Sep 30 2011, 11:12) *
идея как раз в том, чтобы убить их (спуры, субгармоники, гармоники) на корню и не тратить на них никакого времени, так как после двух ЖИГ-ов в широкой полосе (скажем после 1 МГц) их там не будет с вероятностью 99.99%, если фильтровать сигнал на выходе генератора.

Престраивать два отдельных ЖИГ фильтра в скрещенном режиме- это каторга даже в лабораторных условиях. Если еще одну точку так можно вычистить от спуров, то организовать сканирование двух фильтров- Impossible. Разве что кто-нибудь знает двухканальные фильтры с оффсетом по частоте. Понятно, что с общей магнитной системой. Т.е обычные двухканальные фильтры на одинаковые частоты- знаю, что существуют, но вот с оффсетом между каналами по частоте- как то встречать неприходилось.

Автор: Sergey Beltchicov Sep 30 2011, 11:41

Цитата(khach @ Sep 30 2011, 15:18) *
Т.е обычные двухканальные фильтры на одинаковые частоты- знаю, что существуют, но вот с оффсетом между каналами по частоте- как то встречать неприходилось.


Есть вот такое чудо. http://electronix.ru/redirect.php?http://www.omniyig.com/content/datasheets/YIGDiscriminators.pdf
Целесообразность применения пусть каждый определяет для себя сам

Автор: ledum Sep 30 2011, 11:44

Цитата(khach @ Sep 30 2011, 14:18) *
А пробовали в качестве контрольного измерения применить синтезатор на ADF4193

Нам она не подходит - я искал способы умножить тактовую 90 МГц на 2 или на 4 в минимальном объеме. У ADF4193 слишком мала частота сравнения и высока минимальная входная. Пока остановился на AD9517-0, но шумит сильно.

Автор: YIG Sep 30 2011, 12:01

Цитата(khach @ Sep 30 2011, 14:18) *
Престраивать два отдельных ЖИГ фильтра в скрещенном режиме- это каторга даже в лабораторных условиях. Если еще одну точку так можно вычистить от спуров, то организовать сканирование двух фильтров- Impossible.

А что в этом невозможного? ЖИГ-фильтры разделяем друг от друга с помощью АРУ и задержки в перестройке - и вперёд и с песней:
1. Перестроили первый фильтр на левый скат, застабилизировали, АРУ на его выходе компенсирует его работу на скате.
2. Перестроили второй фильтр на правый скат, застабилизировали, АРУ на его выходе компенсирует его работу на скате.
3. В процессе работы "мозги" контролируют устойчивость системы и не дают рассыпаться образовавшемуся "виртуальному" узкополосному фильтру.

Автор: khach Sep 30 2011, 12:29

Цитата(YIG @ Sep 30 2011, 14:01) *
А что в этом невозможного? ЖИГ-фильтры разделяем друг от друга с помощью АРУ и задержки в перестройке - и вперёд и с песней:
1. Перестроили первый фильтр на левый скат, застабилизировали, АРУ на его выходе компенсирует его работу на скате.
2. Перестроили второй фильтр на правый скат, застабилизировали, АРУ на его выходе компенсирует его работу на скате.
3. В процессе работы "мозги" контролируют устойчивость системы и не дают рассыпаться образовавшемуся "виртуальному" узкополосному фильтру.

Ну так это и получается пошагово. Долго очень для свипа. Мы тянули с помощью совмещенной АРУ-АПЧ. Т.е общий сигнал перестройки от задающего ЖИГ генератора, с умножением тока на номер гармоники, оба фильтра перестраиваются синхронно, а потом АРУ-АПЧ тянет частоту первого фильтра до падения амплитуды на 5-8 дб влево(второй- задержан на это время) а потом тоже самое- второй фильтр вправо по частоте. Даже свипироваться иногда получалось.



Цитата(Sergey Beltchicov @ Sep 30 2011, 13:41) *
Есть вот такое чудо. http://electronix.ru/redirect.php?http://www.omniyig.com/content/datasheets/YIGDiscriminators.pdf
Целесообразность применения пусть каждый определяет для себя сам

Спасибо за наводку. Вот только этот дискриминатор похоже односферный ( в каждом канале). Вот если бы хотя бы по 3 сферы фильтры были и раздельную катушку ФМ на каждый канал для подстройки скрещенности- это было бы оно.

Цитата(ledum @ Sep 30 2011, 13:44) *
Нам она не подходит - я искал способы умножить тактовую 90 МГц на 2 или на 4 в минимальном объеме. У ADF4193 слишком мала частота сравнения и высока минимальная входная. Пока остановился на AD9517-0, но шумит сильно.

Для высоких частот сравнения остается только MAX9382-MAX9383 со внешней обвязкой ключами. Но тут сразу вопрос- а на чем счетчики делать. Или спользовать АДФшки исключительно в качестве счетчиков, выводить частоту после ДПКД наружу через многофункциональный вывод и ФД на MAX9382?

Автор: YIG Sep 30 2011, 16:36

Цитата(khach @ Sep 30 2011, 15:29) *
Ну так это и получается пошагово. Долго очень для свипа. Мы тянули с помощью совмещенной АРУ-АПЧ. Т.е общий сигнал перестройки от задающего ЖИГ генератора, с умножением тока на номер гармоники, оба фильтра перестраиваются синхронно, а потом АРУ-АПЧ тянет частоту первого фильтра до падения амплитуды на 5-8 дб влево(второй- задержан на это время) а потом тоже самое- второй фильтр вправо по частоте. Даже свипироваться иногда получалось.

Нет, khach, в свипе это надо делать непошагово! Я не случайно упомянул термин "мозги" - я не доверяю "чисто" аналоговым или "чисто" цифровым методам, я свято верю, что истина посередине, поэтому, наблюдая за цифровыми процессами, вносящими дискретность восприятия аналоговых процессов, и наблюдая за аналоговыми процессами, которые часто "сходят с ума" от того, что ума то у них нет и не было, я пришёл к выводу, что вести ЖИГи (не важно, генератор или фильтр) должны ЦАПы, а подстраивать - ФАПЧи или их аналоги в области фильтрации, а всё это время наблюдать за ними должны "мозги"!
Именно поэтому "наблюдающие мозги" должны быть способны к "быстрому свипированию"! rolleyes.gif


А вообще, спасибо за критику метода. Именно после этого появились новые идеи в области фильтрации спектра синтезаторов и генераторов. Идей много, а времени на их проверку теперь совсем не будет, поэтому постараюсь их впредь также предлагать и обсуждать на этом форуме, так как что-либо патентовать пока не собираюсь. Скорее всего, всё это уже кем-нибудь запатентовано или технически нереализуемо или нецелесообразно...

Автор: Chenakin Oct 9 2011, 22:53

После очередной паузы обнаружил много нового и интересного, причём сразу в нескольких темах. Т.к. многие вопросы постоянно пересекаются (например, спуры DDS), то я всё привожу в одном комментарии - вроде, так проще будет.

Цитата(Sergey Beltchicov @ Sep 30 2011, 11:04) *
Если Вы внимательно взглянете на приведенный мной (измеренный) график QS, то увидите, что он не дотягивает до -140 даже на отстройке 10 МГц. Для меня, например, не факт, что реально захватить ГУН чистой опорой (составной или любой другой) с полосой петли прилично больше 10 МГц и что такая петля будет устойчива.

С обычными PFD (Hittite, AD, etc.) это, действительно, не просто из-за набега фазы, с другим типом ФД пробовать можно. А вот с таким ГУН-ом будет куда проще:

По уровню -140 нужно замыкать ФАПЧ на 2-3 МГц, что серьёзных проблем не вызывает. Вопрос о ГУН-е оставим пока за скобками; естественно, это не октавный и придётся использовать мощный VCO-bank (хоть всё может быть реализовано на одной подложке). Вопрос вкл./выкл. отдельных VCO решается способом срыва генерации без выкл. питания, при этом необходимое время переключения VCO с выходом на генерацию составляет менее микросекунды. Т.е. сделать можно. А вот нужно ли (market demand) – это уже другой вопрос. Пока, наверное, нет. Подождём.

Цитата(Sergey Beltchicov @ Sep 30 2011, 12:55) *
Деление сужает полосу перестройки DDS. Есть шанс не дотянуться до следующей опорной точки в сетке частот. А если брать до деления изначально широкую полосу, то там-то и есть спуры (в том числе, кратные клоку - т.е. максимального уровня), так что во многих ситуациях - перенос вверх и деление это масло-масляное.

Не совсем так. Не прибегая к делению, удаётся избавиться от наиболее значимых спуров (малого порядка, условно говоря). Спуры же более высокого порядка остаются. Они могут быть довольно низкими (те же -80 в пересчёте на выход и меньше), но всё равно они остаются. И вот тут возникает один неприятный момент. Чем выше порядок спуров, тем плотнее они расположены. Т.е. может получится то, чего так опасался rloc:
Цитата(rloc @ Sep 26 2011, 11:03) *
Может так получиться, что один большой спур оказывает меньше влияния, чем много мелких (вспомнился монолог Романа Карцева sm.gif ).

т.е. спуры по абсолютной величине и небольшие, но и их много и они так плотно расположены, что может быть неприемлемо в некоторых случаях (например, где используются широкополосные сигналы). А вот деление кардинально сносит весь спектр вниз. Тут можно, что называется, вообще от любых следов присутствия DDS избавиться (и не так уж это и сложно с архитектурной точки зрения). Попутно убирать отдельные спуры, играясь клоком и фильтрами, конечно, тоже не возбраняется, а наоборот, приветствуется. Тут лучше сказать - кашу маслом не испортишь, но это уже на любителя.

Цитата(mw_duk @ Oct 4 2011, 14:31) *
Если есть хотя-бы одна возможность не использовать DDS без особой надобности в синтезе - откажитесь от него,

Цитата(Sergey Beltchicov @ Oct 4 2011, 15:42) *
использовать просто надо с умом, понимая ограничения - вот и все.

Абсолютно согласен с Вашим комментарием. Хоть DDS и имеет большие проблемы, но это не значит, что его нельзя использовать. Надо лишь понимать ограничения. Согласимся и будем далее использовать Вашу логику:
Цитата(Sergey Beltchicov @ Oct 4 2011, 15:42) *
Что и требовалось доказать. Как я уже говорил в соседней ветке: преобразование ДДС вверх с последующим делением - смотрится красиво концептуально. Но на практике неизвестно, какие спуры окажутся выше: DDS-ные или миксерные.

Смотрится и работает согласно теории, если не забывать ограничения (т.е. проверяем f1m+-f2n – и для миксера, и для делителя при разработке частотного плана). Т.е. как минимум надо предварительно протестировать миксер (upconversion spurs, обычно, не приводятся), а потом запастись листочком бумаги (комментарий Rloc) и терпением. В принципе, так же, как и в случае с DDS. И ещё. Полагаю, что применять этот приём (up-conversion+деление) имеет смысл только при довольно больших коэф. деления. Иначе усложнение схемы оказывается не оправданным.
Цитата(Sergey Beltchicov @ Sep 30 2011, 12:55) *
Это один из трюков (типа умножения петли), который красиво выглядит концептуально, но круг применений ограничен.

Умножение петли. Логика та же. Если просто так применить умножение, то можно нарваться на большие неприятности. При самом беглом взгляде видно, что появляются другие виды спуров (дробные относительно опоры), что, естественно, должно учитываться в частотном плане. А иначе, действительно, может ожидать очень большое разочарование. Ну, а если использовать частотно-независимые (i.e. не кратные) опоры... тут вообще станет грустно.
Цитата(khach @ Sep 27 2011, 09:35) *
А вариант зачистки спуров DDS с помощью VCO с петлей без умножения и деления (N=1) проверяли?

Конечно. ФАПЧ – это одна из реализаций полосового фильтра, это понятно. Но в той постановке вопроса, что была поднята в теме Вячеслава (октава), одна лишь ФАПЧ не поможет, т.к. некоторые спуры двигаются в противоположную сторону при изменении Fdds и вообще её пересекают. Тут уже никакой фильтр не поможет в принципе. Надо сначала гарантировать, чтобы наиболее значимые спуры отстояли достаточно далеко от Fdds (напр., изменением клока). Ну а дальше уже любой подходящий фильтр, тот же ФАПЧ.

Цитата(rloc @ Oct 5 2011, 10:44) *
в лучшем случае - принципиально другое построение, например


Один комментарий – спуры DDS здесь умножаются на 8 (т.е. ухудшаются на 18 дБ) и, вполне вероятно, ещё дадут о себе знать.
Цитата(rloc @ Oct 7 2011, 18:53) *
Прелесть схемы легко понять, если подробно на листочке расписать все преобразования на смесителе n*f1+-m*f2 и многократные отражения спур от 0 и удвоенной частоты делителя.

А вот это уже вызывает уважение. В продолжение комментария Rloc. При приёме на работу люблю задавать такой вопрос с подковыркой в различных вариациях. Имеем сигнал с частотой, скажем, 70 МГц и ЧМ-спурами, отстоящими, скажем, на 8 МГц. Что будет со спурами, если поделить сигнал на 10 (цифры – с потолка, тут может оказаться и не удачный пример, но суть вопроса, думаю, понятна – куда девается “левый” спур)? Если кого заинтересует такой вопрос (понятно, Rloc-у это не грозит rolleyes.gif ), рекомендую померить самому, чтобы прочувствовать реальность на уровне ощущений. А потом набросать табличку в excel - получится такой tool для работы с реальными сценариями. Не сочтите это за какое-то там ёрничие (поверьте, этого и близко нет), но вот так, набивая шишки (по другому не выходит), получается свой собственный набор средств и приёмов для проектирования тех же синтезаторов. Тут у Rloc будет чему поучиться.

Автор: Sergey Beltchicov Oct 10 2011, 11:19

Александр, вот еще раз моя изначальная фраза:
"Но вот мое мнение, что QS - это уже очень близко к пределу по шуму для синтезаторов на ГУНах типа НMC587".
"Типа HMC587", то есть для современных октавных ГУНов, а НЕ для синтезаторов на ГУНах вообще. График, приведенный Вами, красивый, но, как Вы сами и написали, это не октавник. Если появятся такие октавники, то мы тоже ЖИГи выбросим и на эти ГУНы перейдем. То что уже сейчас можно лепить ГУН-банки, - особых вопросов не вызывает. Однако сколько для этого понадобится ключей, дополнительных усилителей для компенсации потерь мощности - вот это вызывает определенные вопросы. То есть использование ГУН при опоре соответствующего качества и одновременном требовании широкополосности подтянет усложнение всей архитектуры тракта синтеза.

Ну и как-то в ходе всей продолжительной дискуссии не упоминались девайсы типа http://electronix.ru/redirect.php?http://www.microlambdawireless.com/YIG_Oscillators/EMYTOs/MLMH_Series_HighSpeed_VXI_VME.htm

которые (или их аналоги) позволяют строить синтезаторы наподобие
http://electronix.ru/redirect.php?http://www.gigatronics.com/uploads/document/2500B-ds-110825.pdf

которые проигрывают ГУНам в скорости не так много (хотя, понятное дело, что проигрывают)

То есть я хочу сказать, что старая технология (ЖИГи) еще жива и даже в некотором роде "эволюционирует" (или агонизирует, кому как больше нравится). А вот новая технология (ГУНы) еще сыровата. Поэтому в определенных практических реализациях (топовые измерительные генераторы) ЖИГи не удастся выбросить еще лет эдак пять-семь.

Автор: Chenakin Oct 10 2011, 15:03

Сергей, я знаю, Вы не являетесь идейным противником ГУН, точно так же я не против ЖИГ в принципе. При использовании сапфира (габариты, цена, и т.д.) экономить на ЖИГ-ах смысла нет, а скорость перестройки в таком применении вряд ли будет нужна. Использование ЖИГ в данном случае уместно и оправдано. Все мои комментарии – в плане технической дискуссии о возможном развитии данного направления.
P.S. Пример с Гигатрониксом – менее удачный, т.к. они пытались занять нишу скоростных генераторов (при, в общем-то, тех же существующих технических ограничениях).

Автор: YIG Oct 11 2011, 07:01

Цитата(Chenakin @ Oct 10 2011, 18:03) *
При использовании сапфира (габариты, цена, и т.д.) экономить на ЖИГ-ах смысла нет, а скорость перестройки в таком применении вряд ли будет нужна. Использование ЖИГ в данном случае уместно и оправдано. Все мои комментарии – в плане технической дискуссии о возможном развитии данного направления.

Александр, а можно узнать, почему при использовании сапфира скорость не нужна? Если она не нужна только потому, что использован ЖИГ-генератор, то получается, что дискуссия была вполне уместной, и Ваш вариант использования набора ГУНов вполне актуален, равно как и вариант деления СВЧ-опоры и смешивания образовавшихся опор друг с другом и с DDS, если последний вообще реален и актуален?
Скорость QS весьма высока, но это уже сейчас далеко не предел желаний, мне, например, выдают ТЗ с требованиями ко времени перестройки (не свипа) не более 10 мкс, было даже не более 1 мкс, но это пока один не осилю...
Каким Вы видите дальнейший путь ускорения СВЧ-синтезаторов? Какие архитектуры, кроме классического прямого синтеза, здесь предпочтительны?

Автор: Dr.Drew Oct 11 2011, 09:12

Выскажу своё мнение.

Цитата(YIG @ Oct 11 2011, 10:01) *
Александр, а можно узнать, почему при использовании сапфира скорость не нужна?

По-моему, речь шла об особо чистом сигнале, который нужен редко и без необходимости быстрых частотных скачков.
Цитата(YIG @ Oct 11 2011, 10:01) *
Какие архитектуры, кроме классического прямого синтеза, здесь предпочтительны?

По-моему никакие. Я не отбрасываю применение косвенного синтеза в таких системах, но - только не в местах, определяющих временные характеристики. Ну, там опоры, подставки...что "встаёт" после включения питания и никуда не дёргается. Не зря Сергей говорил о прямом синтезе в свете ускорения сапсинта. Да даже и получение в широкополосном синтезаторе шумов под 155-150 уже подводит к мысли отказаться от ФАПЧ. ЖИГ уже начнёт портить картину своим горбом на отстройках 1-10 МГц. Сделать петлю шире уже не удастся.

Автор: Sergey Beltchicov Oct 14 2011, 06:53

В результате определенных "танцев с бубнами" на 10 гигах получен вот такой результат. Ну и заодно сравнительная картинка нескольких выдающихся синтезаторов на 10 ГГц. Ну и в планах - улучшить децибел на 10 зону 100 Гц...1кГц (когда проверим КСС).

 

Автор: rloc Oct 14 2011, 10:44

Sergey Beltchicov
В детекторе что-то подкрутили?

Автор: YIG Oct 14 2011, 12:40

Цитата(Sergey Beltchicov @ Oct 14 2011, 09:53) *
Ну и в планах - улучшить децибел на 10 зону 100 Гц...1кГц (когда проверим КСС).

Шайтанама!!! a14.gif a14.gif a14.gif
Я сегодня на этой частоте и при этих отстройках достиг барьера -100 дБн/Гц и сейчас пребываю в полной эйфории!!!...

Автор: Sergey Beltchicov Oct 14 2011, 14:56

Цитата(rloc @ Oct 14 2011, 14:44) *
Sergey Beltchicov
В детекторе что-то подкрутили?


Ну да.

Автор: Dr.Drew Oct 14 2011, 15:08

Цитата(Sergey Beltchicov @ Oct 14 2011, 17:56) *
Ну да.

Дожали-таки? Поздравляю!
А прототип в каком виде существует?
Там реализовано образование сетки частот, с которыми идёт преобразование ЖИГа? Ну,типа синтезатор крупного шага. Или просто взяли и преобразовали ЖИГ с сапфировым и зафапчевали от ДДС?

Автор: Sergey Beltchicov Oct 14 2011, 21:33

Цитата(Dr.Drew @ Oct 14 2011, 19:08) *
Там реализовано образование сетки частот, с которыми идёт преобразование ЖИГа? Ну,типа синтезатор крупного шага.


Формирователь реализован. И как правильно понимает Инженер-Синтезаторщик, вроде Вас, именно он (а не опора) и есть самое интересное. Показать, правда, пока проблемно - в точках сетки частот измерения проводишь по факту на ощупь, для четкости нужно два полностью рабочих синтезатора с правильной мощностью. С этим пока много возни. А мелкошаговый синтезатор у нас имеется давно - мы просто заимствуем его из своего аналайзера.

Автор: Dr.Drew Oct 15 2011, 04:19

А сколько времени ушло на разработку этой "подставки"? Начиная от разработки структуры и заканчивая включением питания готового устройства.

Русская версия Invision Power Board (http://www.invisionboard.com)
© Invision Power Services (http://www.invisionpower.com)