Был проект:
Код
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
LIBRARY IEEE;
USE IEEE.std_logic_unsigned.all;
ENTITY SinTR IS
PORT (
TAKT: in std_logic;
dfm: out std_logic;
--
vihod: out std_logic_vector( 9 DOWNTO 0 );
-- strob: in std_logic;
-- sdvig: in std_logic;
perep_out: out std_logic;
f256: out std_logic;
takt_out: out std_logic;
--
clr : in std_logic;
-- data_serial: in std_logic;
kod: in std_logic_vector( 11 DOWNTO 0 );
otklmod: in std_logic;
rlp: in std_logic;
-- t1out: out std_logic;
-- t2out: out std_logic;
-- t3out: out std_logic;
-- t4out: out std_logic;
dataout: out std_logic_vector( 31 DOWNTO 0 );
sumout: out std_logic_vector( 31 DOWNTO 0 );
write : out std_logic
);
END SinTR;
ARCHITECTURE beh OF SinTR IS
-- port( DataA : in std_logic_vector(31 downto 0); Aclr : inout
-- std_logic := 'Z'; Clock : in std_logic; Sum : out
-- std_logic_vector(31 downto 0));
component accumhs323
port( DataA : in std_logic_vector(31 downto 0); Aclr : in std_logic;
Clock : in std_logic; Sum : out std_logic_vector(31 downto
0); Cout: out std_logic);
end component;
CONSTANT kodotklmod : std_logic_vector( 19 DOWNTO 0 ) := "00100001101011111100";
CONSTANT kodrlp1 : std_logic_vector( 19 DOWNTO 0 ) := "00100001101100001001";
CONSTANT kodrlp2 : std_logic_vector( 19 DOWNTO 0 ) := "00100001101011101111";
CONSTANT kod1 : std_logic_vector( 19 DOWNTO 0 ) := "00100001101100001001";
CONSTANT kod2 : std_logic_vector( 19 DOWNTO 0 ) := "00100001101011110001";
CONSTANT kodtest : std_logic_vector( 31 DOWNTO 0 ) := "00101000011011100101000110100101";
CONSTANT Cin1 : std_logic := '0';
SIGNAL q1, f2, f3, fm, strob_t : std_logic := '0';
SIGNAL r1, r0, data, S_out2 : std_logic_vector( 31 DOWNTO 0 ) := ( others => '0' );
SIGNAL rs : std_logic_vector( 31 DOWNTO 0 ) ;
SIGNAL perep, perep_in, wr0, del, tiktak1, tiktak2, tiktak3, tiktak4,sdvig_t, takt_out1, write1 : std_logic := '0';
SIGNAL sOut : std_logic_vector( 9 DOWNTO 0 ) ;
--
-- 00111100100111101110110010111111
BEGIN
--
-- << TAKT >> == 20 MHz
...
...
...
Ниже еще идет port map к
accumhs323.
В нем отдельно был сгенерирован и присоединен компонент
accumhs323. Но теперь мне необходимо этот компонент удалить и написать его вручную. Как это сделать? Нужно добавлять source-файл, подключать и в нем всё прописывать? или же как-то в этом vhd-файле можно дописать?
В книгах не могу найти, как это делается...