реклама на сайте
подробности

 
 
> Zynq простой проект
Kolia
сообщение Jul 10 2015, 12:00
Сообщение #1


Частый гость
**

Группа: Свой
Сообщений: 188
Регистрация: 28-09-06
Из: Minsk
Пользователь №: 20 762



Добрый день.

Пытаюсь разобраться с zynq7020, набросал простую мигалку, все компилится, будет ли он работать если зашить в zynq?
И не сломается ли зашитая конфифугурация?


Код
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity led is
    Port ( clk_100 : in  STD_LOGIC;
           led_1 : out  STD_LOGIC);
end led;

architecture Behavioral of led is

    constant prescaler: STD_LOGIC_VECTOR(23 downto 0) := "101111101011110000100000"; -- 12,500,000 in binary
    signal prescaler_counter: STD_LOGIC_VECTOR(23 downto 0) := (others => '0');
    signal newClock : std_logic := '0';

begin

led_1 <= newClock;

countClock: process(clk_100, newClock)
begin
    if rising_edge(clk_100) then
        prescaler_counter <= prescaler_counter + 1;
        if(prescaler_counter > prescaler) then
            -- Iterate
            newClock <= not newClock;

            prescaler_counter <= (others => '0');
        end if;
    end if;
end process;


end Behavioral;


Смущает вот это
Цитата
WARNING:PhysDesignRules:2500 - This design does not have a PS7 block.
Instantiate the PS7 block in order to ensure proper fabric tie-offs and
correct operation of the processing_system7.
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов (1 - 8)
dm.pogrebnoy
сообщение Jul 10 2015, 13:59
Сообщение #2


Знающий
****

Группа: Свой
Сообщений: 747
Регистрация: 11-04-07
Пользователь №: 26 933



Должно работать. Варнинг не страшный.


--------------------
Go to the top of the page
 
+Quote Post
Kolia
сообщение Jul 13 2015, 12:54
Сообщение #3


Частый гость
**

Группа: Свой
Сообщений: 188
Регистрация: 28-09-06
Из: Minsk
Пользователь №: 20 762



Цитата(dm.pogrebnoy @ Jul 10 2015, 17:59) *
Должно работать. Варнинг не страшный.


Да спасибо работает...

После загрузки старая конфигурация слетела, я так понимаю чтобы работало все без изменений нужно мигалку добавить к стандартному проекту, он в planahead. Что-то я не могу сообразить как спарить конфиг сгенерированный Xilinx Platform Studio и эту мигалку.
Go to the top of the page
 
+Quote Post
Azatot
сообщение Jul 14 2015, 02:59
Сообщение #4


Частый гость
**

Группа: Участник
Сообщений: 108
Регистрация: 31-01-08
Из: Москва
Пользователь №: 34 633



Цитата
После загрузки старая конфигурация слетела, я так понимаю чтобы работало все без изменений нужно мигалку добавить к стандартному проекту, он в planahead. Что-то я не могу сообразить как спарить конфиг сгенерированный Xilinx Platform Studio и эту мигалку.

Xilinx Platform Studio отвечает за ARM ядро, которое Вы не добавляете(об этом как раз и варнинг). А Вы меняете конфигурацию логики.
Вам надо добавлять свою "мигалку" к имеющейся прошивке логики.
Но что бы это все работало вместе с ARM ядром и грузилось из памяти необходимо будет менять и загрузчик ARMа.
Go to the top of the page
 
+Quote Post
dm.pogrebnoy
сообщение Jul 14 2015, 08:11
Сообщение #5


Знающий
****

Группа: Свой
Сообщений: 747
Регистрация: 11-04-07
Пользователь №: 26 933



Цитата(Kolia @ Jul 13 2015, 15:54) *
Да спасибо работает...

После загрузки старая конфигурация слетела, я так понимаю чтобы работало все без изменений нужно мигалку добавить к стандартному проекту, он в planahead. Что-то я не могу сообразить как спарить конфиг сгенерированный Xilinx Platform Studio и эту мигалку.


Что за конфиг? В XPS конфигурируете процессорную систему. Кроме процессора в XPS, часть проекта скорее всего будет размещаться также и в ПЛИС, но вы этого даже не заметите. Дальше ищите описание на HDL вашей системы (system wrapper + .ngc или непосредственно проект XPS) и его добавляете в качестве исходного файла в PlanAhead. Где-то там же в файлах лежит instantiation template. Методом copy-paste добавляйте процессорную систему в ваш top-level. А дальше раздолье, в top-level добавляете недостающую функциональность.

Либо в XPS можно создать свою периферию для процессора, но это более гем-но. Зато, в этом случае можно обойтись вообще без PlanAhead.

+ почитайте ug683, ug873


--------------------
Go to the top of the page
 
+Quote Post
Kolia
сообщение Jul 14 2015, 13:56
Сообщение #6


Частый гость
**

Группа: Свой
Сообщений: 188
Регистрация: 28-09-06
Из: Minsk
Пользователь №: 20 762



Всем спасибо, разобрался.
Добавил к проекту planAhead файлы из ISE ( vhd, ngc и ucf).

Если кому интересно вот базовый проект
Go to the top of the page
 
+Quote Post
Lmx2315
сообщение Jul 14 2015, 14:00
Сообщение #7


отэц
*****

Группа: Свой
Сообщений: 1 729
Регистрация: 18-09-05
Из: Москва
Пользователь №: 8 684



..спасибо за проект.


--------------------
b4edbc0f854dda469460aa1aa a5ba2bd36cbe9d4bc8f92179f 8f3fec5d9da7f0
SHA-256
Go to the top of the page
 
+Quote Post
aman
сообщение Apr 29 2016, 13:00
Сообщение #8


Участник
*

Группа: Участник
Сообщений: 19
Регистрация: 30-07-13
Из: г.Алматы, Казахстан
Пользователь №: 77 736



Цитата(Kolia @ Jul 14 2015, 19:56) *
.. Если кому интересно вот базовый проект


добрый вечер!
мне интересно, но по ссылке уже ничего нет sad.gif
Изучаю отладку с XC7Z020 на примерах https://habrahabr.ru/post/250511/.
Первый пример работает с аналогичным "нестрашным" варнингом, второй пример не пошел, скорее всего из-за не подключенного процессора как говорилось выше, разбираюсь.


P.S. 30.05.16
Немного проясню свою ситуацию, недавно попала в руки отладка ZYNQ-7000 SoC Video and Imaging Kit с просроченной лицензией на софт. Как позже выяснилось, в Vivado 2013.1 нет IP Integratora, интегратор появится в следующей версиях 2013.2 и выше, отсюда все прелести vivado сводятся на нет, а работа с отладкой на ISE 14.5, который к сожалению не видит отладку. В связи с нынешней мировой ситуацией, из-за эмбарго от Xilinx обновление лицензии, софта далеко не простое дело.

Возвращаясь к вышеуказанному второму примеру, добавлю, что светодиоды на моей плате привязаны к плис, а кнопки к процессору, похоже погорячился sm.gif, первый пример то работает. При необходимости, выложу ошибки и сообщения.
Буду рад и благодарен любому совету, подсказке в каком направлении двигаться, а также любым наводкам на проекты, ресурсы для начинающих касательно ISE, PlanAhead.

Сложа руки не сижу, изучаю форумы и доки от xilinx (которых немало sm.gif). Два месяца назад впервые прикоснулся к теме плис, удалось сделать простейшие часики с 7-сегмент. индикаторами на отладке с MaxII от альтеры, правда в схематике, но к настоящему времени немного освоился с verilog.

с уважением, аман.

Сообщение отредактировал aman - Apr 30 2016, 10:50
Go to the top of the page
 
+Quote Post
Kolia
сообщение May 24 2016, 14:32
Сообщение #9


Частый гость
**

Группа: Свой
Сообщений: 188
Регистрация: 28-09-06
Из: Minsk
Пользователь №: 20 762



C PlanAhead лучше работать добавляя IP-ядра проектов в XPS (xilinx platform studio).

Проект исчез, т.к. сайт закрыт. На сайте фирмы где брал модуль есть проект http://www.axonim.by/ru/products/Processor..._AX-SoM-XC7Z020.
Но он вам не подойдет т.к. это базовый (нулевой) проект для модулей AX-SoM-XC7Z020.
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 22nd July 2025 - 10:14
Рейтинг@Mail.ru


Страница сгенерированна за 0.01437 секунд с 7
ELECTRONIX ©2004-2016