Цитата(andrew_b @ Apr 3 2008, 11:37)

Что вас смущает? std_logic -- это стандартный тип. Потребности в типе bit нет совсем.
Какую книжку по языку вы читаете?
Я пользуюсь 3мя книгами - Бибило, Поляков и Суворова.
Задаю изменение сигналов:
Код
library ieee;
use ieee.std_logic_1164.all;
entity TEST is
end TEST;
architecture TEST_arch of TEST is
component COMPONENT_1
port (SCL: in std_logic;
SDA: inout std_logic);
end component;
signal SCLin: std_logic;
signal SDAin: std_logic;
begin
p1: COMPONENT_1 port map(SCL=>SCLin,SDA=>SDAin);
SDAin<='1',
'0' after 50 ns,
'1' after 150 ns;
SCLin<='1',
'0' after 100 ns,
'1' after 200 ns,
'0' after 300 ns;
end TEST_arch;
При этом на выходной диаграмме сигналы SCLin и SCL изменяются как надо, а вот inout-сигналы SDAin и SDA постоянные и равны U...
Сообщение отредактировал Vanёk - Apr 3 2008, 08:42