изучаю плис в течении 1 недели, пытаюсь изучить путем решения не сложных задач , научился делать один строго один импульс по нажатию кнопки вот пример кода
Код
entity sig is
Port ( CLK : in STD_LOGIC;
btn : in STD_LOGIC;
vix : out STD_LOGIC);
end sig;
architecture Behavioral of sig is
signal a: std_logic_vector(1 DOWNTO 0):="00" ;
begin
process (CLK)
begin
if rising_edge(CLK) then
a<= a(0) & btn;
if (a = "01") then
vix <= '1';
else vix <= '0';
end if;
end if;
end process;
end Behavioral;
не получается разобратся как сделать 2 импульса разной длинны по нажатию кнопки, причем если кнопку удерживать сигнал должен все равно прикратится.
за ранее спасибо.