Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Системы на ПЛИС - System on a Programmable Chip (SoPC)
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Системы на ПЛИС - System on a Programmable Chip (SoPC)
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12
  1. Как запустить таймер? (9 ответов)
  2. Reset Vector и FLASH (8 ответов)
  3. Асинхронные схемы (39 ответов)
  4. typedef union в NiosII (4 ответов)
  5. SGDMA память бессовестно жрет (6 ответов)
  6. Проблема с блочным чтением и записью по шине PLB (24 ответов)
  7. Nios + STDIN Jtag-Uart (10 ответов)
  8. форматирование SystemACe (0 ответов)
  9. Cyclone III & Nios II (6 ответов)
  10. Debug NIOS на удаленной машине (6 ответов)
  11. Virtex4 + ll temac (2 ответов)
  12. Подключение по MII KS8999 к Virtex-5 (0 ответов)
  13. Nios + fprontf (7 ответов)
  14. Подключение LVDS (2 ответов)
  15. инвертировать UART (4 ответов)
  16. Работа с USB устройством на плате ML505 с процесором Microblaze (0 ответов)
  17. вопросик по tri-state bridge (0 ответов)
  18. как такое может быть ? (9 ответов)
  19. Запуск Power PC на Virtex4 (5 ответов)
  20. хочу познакомиться с привлекательным процессором (15 ответов)
  21. Непонятное поведение printf в Ниос2 (10 ответов)
  22. Ошибка чтения по шине Avalon (6 ответов)
  23. создание минимального девайса с минимальными знаниями и опытом (8 ответов)
  24. cyclone II + opencore MSP430 + eclipse (3 ответов)
  25. SGDMA почему-то байты пропускает (17 ответов)
  26. Выравнивание в NIOSII (9 ответов)
  27. Посоветуйте хорошую информацию по стандарту VPX VITA46 (1 )
  28. NIOS+I2C (11 ответов)
  29. Nios IIDPX (0 ответов)
  30. Nios ii + uC/OS-II (3 ответов)
  31. Как посмотреть в Eclipse регистры Nios-a? (3 ответов)
  32. метастабильность триггера (10 ответов)
  33. Пара вопросов по SDRAM (3 ответов)
  34. Прерывания в NIOS (18 ответов)
  35. Simple Socket Server - как научить его грузиться с флэшки? (3 ответов)
  36. посоветуйте что есть на рынке (6 ответов)
  37. Проблема при записи компонентом через интерфейс Avalon Master (5 ответов)
  38. Снова о компоненте SRAM (13 ответов)
  39. и снова авалон и туплю с верилогом.. (2 ответов)
  40. Как подключить DDR SDRAM к проекту в Quartus? (2 ответов)
  41. Время обработки прерывания процессором Nios II (2 ответов)
  42. как поправить общую шину? (17 ответов)
  43. Доки по написанию констрейнов (1 )
  44. Ботва с констрейнами (5 ответов)
  45. Некорректное симулирование Nios II системы на Cyclone III. Нужна момощь (23 ответов)
  46. Как правильно синтезировать NiosII? (3 ответов)
  47. Помогите с set_clock_uncertainty (2 ответов)
  48. Объединение физических памятей в один блок в программе (2 ответов)
  49. вопрос по ISE 10.1 (4 ответов)
  50. SRAM-создание компонента (2 ответов)
  51. "addressAlignment" "NATIVE" (7 ответов)
  52. Запись/Чтение в/из DDR2 (6 ответов)
  53. Nios II Embedded Evaluation Kit (NEEK), Cyclone III Edition (10 ответов)
  54. Nios 1 Development Kit - Cyclone 1 Edition (6 ответов)
  55. ASIC (6 ответов)
  56. Micron (7 ответов)
  57. DDR2-память, несколько чипов (5 ответов)
  58. Подскажите с способом тестирования MAC-компонента (22 ответов)
  59. Выбор размера FIFO, оценка загрузки (11 ответов)
  60. Некоректное чтение памяти DMA-компонентом (3 ответов)
  61. HDL to true cycle sim, soft_cpu (7 ответов)
  62. Xilinx MIG 3.1 и dual-rank DDR2 SODIMM, работает на 200 МГц!? (13 ответов)
  63. Два PLL (6 ответов)
  64. странность в Quartus-е с hex файлом инита onchip_memory. Вот что он генерит (+) (3 ответов)
  65. Не работает XILINX JTAG сквозь буффер 74HC125 (7 ответов)
  66. malloc после сброса памяти не дает (8 ответов)
  67. Перехожу с альтеры на ксилинкс...хочу сваять USB коннект (3 ответов)
  68. Создание нового компонента в SOPC (4 ответов)
  69. Ошибка при добавлении драйвера в систему Nios II (3 ответов)
  70. Xilinx MIG и dual-rank DDR2 SODIMM (10 ответов)
  71. avnet v5 30fxt demo board (0 ответов)
  72. NIOS II IDE Memory view endian format (0 ответов)
  73. Ошибки в работе Leon3 (5 ответов)
  74. DDR2 SDRAM (4 ответов)
  75. DE0 + sdram (10 ответов)
  76. загрузка NIOS (DEVBOARD Циклон 3) (27 ответов)
  77. sections ovelap (1 )
  78. ядро DDR2 SDRAM RDIMM (4 ответов)
  79. Nios + память (2 ответов)
  80. Помогите разобраться в чтении доков (3 ответов)
  81. NIOS Debug (2 ответов)
  82. Добавление проекта в Ниос (1 )
  83. uart+fopen не могу разобраться (7 ответов)
  84. непонятная трабла с дебагером (5 ответов)
  85. NIOS + ELF (14 ответов)
  86. Тест памяти (7 ответов)
  87. NIOS + EPCS (7 ответов)
  88. 16 и 32 бит доступ к внешней памяти (8 ответов)
  89. Опять SGDMA! (10 ответов)
  90. Компонент IDT71V416 (7 ответов)
  91. PCIe зпрос на чтение. (13 ответов)
  92. Как воспользоваться USB-портом на плате? (1 )
  93. проблемы с microblaze (8 ответов)
  94. Ниос на работает на 100МГц (7 ответов)
  95. avnet v5 30fxt demo board (8 ответов)
  96. Как заставить PHY Ethernet отправлять и получать пакеты (34 ответов)
  97. 2D Графический процессор (5 ответов)
  98. формирование 3D модели (9 ответов)
  99. помогите с процем (12 ответов)
  100. Microblaze в Spartan 3 / 6 (2 ответов)
  101. SoC: Intel Atom + FPGA Altera (18 ответов)
  102. ядро ARM Cortex-M1 для Cyclone III (14 ответов)
  103. ARM Cortex™-M1 processor for FPGAs (31 ответов)
  104. Какой тип памяти выбрать (59 ответов)
  105. Проц программу не выполняет (3 ответов)
  106. Организация bursttransfer для Slave-компонента (5 ответов)
  107. Непредсказуемые результаты синтеза? (22 ответов)
  108. AS Boot Loader посредствам JTAG (5 ответов)
  109. Разные частоты microblaze и периферии (5 ответов)
  110. Xilinx coregen fifo (2 ответов)
  111. про реализацию АЛУ (34 ответов)
  112. Периодические прерывания, Microblaze (4 ответов)
  113. Проблема симуляции EDK-шного проекта с EMC FLASH при 16р шине данных (1 )
  114. в EDK (XPS) срочно хочется воткнуть свой компонент (3 ответов)
  115. Virtex-5 LXT FPGA ML505 Evaluation Platform сломалась? (3 ответов)
  116. альтеровская корка FIR (23 ответов)
  117. Вопросик такой... (4 ответов)
  118. Отладочная плата Xilinx ML505 (2 ответов)
  119. printf опять виснет (2 ответов)
  120. Проект в eclipse не обновляется (2 ответов)
  121. UART на верилоге ищу . (10 ответов)
  122. Вопрос по фильтрации с помощью IP cores (6 ответов)
  123. Вопросы по МАС от Inventra (8 ответов)
  124. Настройки CORDIC (16 ответов)
  125. Cyclone 4 vs Spartan 6 for ray tracing (23 ответов)
  126. Правильно ли организую прерывания? (10 ответов)
  127. Multiboot и ICAP в Virtex-5 (0 ответов)
  128. Моделирование в EDK12.2 (4 ответов)
  129. Вопрос по SDRAM памяти micron (8 ответов)
  130. Проблемы в Nios2EDS v10.0 (14 ответов)
  131. Memcpy (9 ответов)
  132. NIOS проц не работает на 100 МГц в Q91 (8 ответов)
  133. Avalon MM наружу (5 ответов)
  134. LCD DMA (6 ответов)
  135. ChipScope + XPS (2 ответов)
  136. Новая аврка на опенкоресах (1 )
  137. Работа из EPCS (28 ответов)
  138. Затасканная тема - передача данных по usb (2 ответов)
  139. Подсобите, пожалуйста, с трактованием документации (11 ответов)
  140. Lattice бросился вдогонку за альтерой (52 ответов)
  141. Вопрос использования тактового сигнала (4 ответов)
  142. Как в Nios 8.1 сделать Regenerate BSP? (0 ответов)
  143. Установка Reset vector на onchip_memory. (Nios, Cyclon3) (6 ответов)
  144. Проверить LCD DMA (0 ответов)
  145. Arria II GX dev kit (7 ответов)
  146. отладочная плата ml505 для Virtex-5 (4 ответов)
  147. Scatter-Gather DMA (2 ответов)
  148. Почему alt_printf виснет? (2 ответов)
  149. Быстрое обращение к памяти (3 ответов)
  150. Win32 error при билде BSP (7 ответов)
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.