Цитата(Iptash @ Nov 5 2012, 17:42)

Практически, при измерении временных данных с заполнением 5МГц
Зачем практически? TimeQuest же есть!

Вот, смотрите
Код
"tst.v"
module tst (input clk, input en1, input en2, output dout);
reg d;
always @(posedge clk)
if (en1 & en2) d <= ~d;
assign dout = d;
endmodule
"test.sdc
derive_clock_uncertainty
create_clock -period 50MHz -name {clk} [get_ports {clk}]
Собираем проект, запускаем в квартусе Tools->Netlist Viewers->Technology Map Viewer (Post-Mapping)
Нажмите для просмотра прикрепленного файлаОтсюда получаем, что нужно прописать задержку от портов en1 и en2 до входа триггера - имя триггера (cell) "d".
Соответственно полное имя пины будет d|d (см. статьи
des00 - ссылка выше)
В данном примере это можно сделать такими способами:
1)
set_max_delay -from [get_ports {en*}] -to [get_pins {d|d}] 5.02)
set_max_delay -from [get_ports {en*}] -to [get_registers {d}] 5.0Чтобы вывести репорт о величине задержке (для данного примера), используйте в консоли TimeQuest-а следующие команды:
report_path -from [get_ports {en*}] -to [get_pins {d|d}] -panel_name "bla-bla )))" - выводит максимальную задержку
report_path -from [get_ports {en*}] -to [get_pins {d|d}] -min_path -panel_name "bla-bla )))" - выводит минимальную задержку
Попробуйте сначала вывести репорт, когда в sdc-файле не задана max_delay.
Потом задайте max_delay, пересоберите проект и посмотрите репорт опять. Убедитесь, что квартус Ваше желание удовлетворил (или вывел предупреждение, что он Ваше желание понял, но выполнить не смог

).
Можно таким же макаром задать min_delay и посмотреть, как квартус реагирует на ваши хотелки.
хмм... Интересно, а почему TimeQuest не выдает предупреждений, если требование по max_delay не выполняется?
set_max_delay -from [get_ports {en*}] -to [get_pins {d|d}] 2.0TimeQuest рапортует, что максимальная задержка "From node en1 To node d|d" составляет 2.277 нс. Однако никаких предупреждений по этому поводу не выводит...
Нужен
des00!!!

Попутно вопрос - а зачем Вы используете констрейнт set_max_delay? Какие условия задачи и какие цели? Ведь здесь не учитывается задержка клока...