Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: LDPC код из стандарта DVB-S2
Форум разработчиков электроники ELECTRONIX.ru > Цифровая обработка сигналов - ЦОС (DSP) > Алгоритмы ЦОС (DSP)
Страницы: 1, 2
Vehfl
Есть программа на C++ для моделирования кодов LDPC для стандарта DVB-S2, в программе есть матрицы из стандарта, задающие коды, есть модем и канал связи с возможностью установки отношения Eb/No. Для всех кодов кроме скоростей 2/5, 1/3, 1/4 получились приемлимые графики зависимости BER (Bit Error Rate) от Eb/No, сходящиеся с теоретическими из статей. Для скоростей 1/4, 1/3, 2/5 декодер не работает, сам добавляет ошибки даже, если в канале ошибок не было. Может это опечатка в матрицах, взятых из стандарта. Ниже приведен стандарт. Не знаете с чем может быть связано, что не работают три скорости?
Serg76
Цитата(Vehfl @ Nov 13 2012, 13:33) *
Есть программа на C++ для моделирования кодов LDPC для стандарта DVB-S2, в программе есть матрицы из стандарта, задающие коды, есть модем и канал связи с возможностью установки отношения Eb/No. Для всех кодов кроме скоростей 2/5, 1/3, 1/4 получились приемлимые графики зависимости BER (Bit Error Rate) от Eb/No, сходящиеся с теоретическими из статей. Для скоростей 1/4, 1/3, 2/5 декодер не работает, сам добавляет ошибки даже, если в канале ошибок не было. Может это опечатка в матрицах, взятых из стандарта. Ниже приведен стандарт. Не знаете с чем может быть связано, что не работают три скорости?

Промоделировал своими средствами для QPSK 1/4 при разных С/Ш - все работает, ошибки исправляются. Ищите ошибки в модели. Товарищи, которые делали по стандарту, говорят, что в матрицах опечаток нет.
Vehfl
Цитата(Serg76 @ Nov 13 2012, 17:59) *
Промоделировал своими средствами для QPSK 1/4 при разных С/Ш - все работает, ошибки исправляются. Ищите ошибки в модели. Товарищи, которые делали по стандарту, говорят, что в матрицах опечаток нет.

Случайно не в матлабе моделировали? У меня в матлабе при отношении С/Ш=0, BER=0. Ведь физически такого не может быть?

Код программы в матлабе:
CODE
format long
clear all;

r=2/3
H = dvbs2ldpc®;
%e=0
% spy(H); % Visualize the location of nonzero elements in H.

% Construct a default LDPC encoder object
henc = fec.ldpcenc(H);

for SNRdB = 0

for n=1:100;
% Generate a random binary message
msg = randint(1,henc.NumInfoBits,2);

% Encode the message
codeword = encode(henc, msg);

% Verify the parity checks (which should be a zero vector)
paritychecks = mod(henc.ParityCheckMatrix * codeword', 2);

hdec = fec.ldpcdec(H);

% Construct a BPSK modulator object
modObj = modem.pskmod('M',2,'InputType','Bit');

% Modulate the signal (map bit 0 to 1 + 0i, bit 1 to -1 + 0i)
modulatedsig = modulate(modObj, codeword);

% Noise parameters

sigma = sqrt(10^(-SNRdB/10));

% Transmit signal through AWGN channel
receivedsig = awgn(modulatedsig, SNRdB, 0); % Signal power = 0 dBW

% % Visualize received signal
% scatterplot(receivedsig)

% Construct a BPSK demodulator object to compute
% log-likelihood ratios
demodObj = modem.pskdemod(modObj,'DecisionType','LLR', ...
'NoiseVariance',sigma^2);

% Compute log-likelihood ratios (AWGN channel)
llr = demodulate(demodObj, receivedsig);

% Decode received signal
decodedmsg = decode(hdec, llr);

N_err=nnz(decodedmsg-msg);

% % Actual number of iterations executed
% disp(['Number of iterations executed = ' ...
% num2str(hdec.ActualNumIterations)]);
% % Number of parity-checks violated
% disp(['Number of parity-checks violated = ' ...
% num2str(sum(hdec.FinalParityChecks))]);
% % Compare with original message
% disp(['Number of bits incorrectly decoded = ' ...
% num2str(N_err)])


a(n)=N_err; % Общее количество ошибок

end

BER=sum(a)/(n*henc.NumInfoBits)


end
BER
FER=(nnz(a))/n
Serg76
программная модель на C++. попробовал пару режимов, один из них без внесения ошибок в канал, второй при вероятности битовой ошибки на входе где-то 4е-2. в обоих случаях все ошибки были исправлены, точнее во втором случае.
Mogwaika
Цитата(Vehfl @ Nov 13 2012, 20:24) *
Случайно не в матлабе моделировали? У меня в матлабе при отношении С/Ш=0, BER=0. Ведь физически такого не может быть?


Почему не может? у меня 9.259259259259259e-07 получилось... а могло и не получиться.
Запускайте подольше и параллельно несколько потоков.
Serg76
Цитата(Vehfl @ Nov 13 2012, 19:24) *
У меня в матлабе при отношении С/Ш=0, BER=0. Ведь физически такого не может быть?

Для режима QPSK 1/4 вполне может быть, код достаточно мощный, а вот то, что он вносит ошибки при их отсутствии в канале, то это уже ошибка в модели.

по спецификации для QPSK 1/4 FER = 1e-7 при Es/No = -2,35 дБ.
slash_spb
У вас реализация с плавающей точкой? В реализациях с фиксированной точкой декодер начинает сам вносить ошибки при больших снрах когда нет проверки на четность(наличию ошибок в блоке) после каждой итерации, либо что-то с нормировкой мягких решений на входе декодера. Ну это так, вдруг поможет. Хотя наверное ошибка в модели.
Serg76
Цитата(slash_spb @ Nov 15 2012, 19:49) *
У вас реализация с плавающей точкой? В реализациях с фиксированной точкой декодер начинает сам вносить ошибки при больших снрах когда нет проверки на четность(наличию ошибок в блоке) после каждой итерации, либо что-то с нормировкой мягких решений на входе декодера.

такого я еще не слышал sm.gif
icyrock
Стандарт точен. Я сам проверял (у меня собственная модель) на всех кодовых скоростях.
Vehfl
Цитата(slash_spb @ Nov 15 2012, 18:49) *
У вас реализация с плавающей точкой? В реализациях с фиксированной точкой декодер начинает сам вносить ошибки при больших снрах когда нет проверки на четность(наличию ошибок в блоке) после каждой итерации, либо что-то с нормировкой мягких решений на входе декодера. Ну это так, вдруг поможет. Хотя наверное ошибка в модели.


Пожалуйста можете поподробней и что вы имеете ввиду под реализацией с фиксированной точкой?

slash_spb
Цитата(Vehfl @ Nov 19 2012, 11:58) *
Пожалуйста можете поподробней и что вы имеете ввиду под реализацией с фиксированной точкой?


Имел ввиду реализацию декодера с фиксированной точкой, т.е. все метрики имеют ограниченный динамический диапазон. При работе с таким декодером сталкивался с тем что декодер вносил ошибки и было это конечно от неправильной реализации алгоритма декодирования, хотя он как вносил ошибки так и исправлял. Как вариант может у вас реализация декодера с фиксированной точкой и переполнение метрик наступает только на на указанных вами скоростях?
Vehfl
Не могли бы поделиться алгоритмом декодирования который использовали. Спасибо.
slash_spb
Цитата(Vehfl @ Nov 22 2012, 16:03) *
Не могли бы поделиться алгоритмом декодирования который использовали. Спасибо.


Алгоритм декодирования MIN-SUM, такой же как в DVB-S2, только нет коррекции. Ну еще он с одним проходом по строкам кодовой матрице. У меня он есть на матлабе, на си нет. Вам исходник нужен, я правильно понял?
Vehfl
Цитата(slash_spb @ Nov 22 2012, 18:31) *
Вам исходник нужен, я правильно понял?

Да, это было бы прекрасно иметь наглядный алгоритм декодирования.
dimez
Могу выправить вам алгоритм и проконсультировать, за плату. Пишите в личку.
Vehfl
Цитата(slash_spb @ Nov 22 2012, 18:31) *
Алгоритм декодирования MIN-SUM, такой же как в DVB-S2, только нет коррекции. Ну еще он с одним проходом по строкам кодовой матрице. У меня он есть на матлабе, на си нет. Вам исходник нужен, я правильно понял?

Был бы признателен если бы вы предоставили закодированные блоки с исходными сообщениями для нескольких скоростей скажем для 1/2,2/5 и 1/3. У нас есть подозрения на неправильную работу кодера.
slash_spb
Цитата(Vehfl @ Nov 28 2012, 12:37) *
Был бы признателен если бы вы предоставили закодированные блоки с исходными сообщениями для нескольких скоростей скажем для 1/2,2/5 и 1/3. У нас есть подозрения на неправильную работу кодера.


Не совсем понял) Вы вроде приводили скрипт в котором можете с легкостью с генерировать нужные кодовые блоки.

Код
function [vHat n] = decodeLogMinSumOffsetSinglePassFpga(rx, H, iteration, beta)

llr_bit_width = 8;

max_val = (2^(llr_bit_width-1))-1;
min_val = -(2^(llr_bit_width-1));

[M N] = size(H);

Lci = rx';

% Initialization
Lrji = zeros(M, N);
Pibetaij = zeros(M, N);

Zn   = Lci;

for n = 1:iteration
  
   Lrji_prev =  Lrji;
  
   betaij  = ( repmat( Zn, M, 1 ).*H - Lrji );
  
   %Limit data by bit width value. Like in fpga.
    for i=1:size(betaij,1)*size(betaij,2)
              
        if (betaij(i) > max_val)
          betaij(i) = max_val;
        end
        
        if (betaij(i) < min_val)
          betaij(i) = min_val;
        end
    end    
  
   %In convertion two's compliment to absolute value
   %didn't add 1 to save some resources
    %for i=1:size(betaij,1)*size(betaij,2)
    %  if ( betaij(i) < 0 )
    %    betaij(i) = betaij(i) + 1;  
    %  end
    %end
  
   betaij  = abs( betaij );
   alphaij = nonzerosign( repmat( Zn, M, 1 ).*H - Lrji );

   % ----- Horizontal and Vertical step -----
   for i = 1:M
      
      % Find non-zeros in the column
      c1 = find(H(i, :));
      
      for k = 1:length(c1)

         % Maximum betaij\c1(k) - beta
         beta_row = betaij(i, c1);
         beta_row(k) = realmax;
         minOfbetaij = min( beta_row ) - beta;
         minOfbetaij = max( [minOfbetaij 0] );
        
         % Multiplication alphaij\c1(k) (use '*' since alphaij are -1/1s)
         prodOfalphaij = prod(alphaij(i, c1))*alphaij(i, c1(k));
        
         % Update L(rji)
         Lrji(i, c1(k)) = prodOfalphaij*( minOfbetaij );
                  
         Zn_inc = Lrji(i, c1(k)) - Lrji_prev(i, c1(k));
        
         if ( Zn_inc  > max_val )
             Zn_inc = max_val;
         end
        
         if ( Zn_inc  < min_val )
             Zn_inc = min_val;
         end
                
         Zn( c1(k) ) = Zn( c1(k) ) + Zn_inc;
        
         if ( Zn( c1(k) ) > max_val )
             Zn( c1(k) ) = max_val;
         end
        
         if ( Zn( c1(k) ) < min_val )
             Zn( c1(k) ) = min_val;
         end
        
      end % for k

   end % for i
  
   %check parity
   if ( n ~= 1 )
     bits = ( nonzerosign( Zn_prev ) - 1 ) / -2;
     if ( sum(mod(H*bits',2)) == 0 )
       break;
     end
   end
  
   Zn_prev = Zn;
end % for n

for i=1:length(Zn)
  if( (Zn(i)) < 0 )
     vHat(i) = 1;
  else
     vHat(i) = 0;
  end
end
%nn = n_last;


Мягкие решения делятся на delta=0.075, beta = 2. Ну этот алгоритм для фиксированной точки с ограничением динамическим диапазоном.
agate
А в RTL кто нибудь имеет DVB-S2 декодер?
Vehfl
Цитата(slash_spb @ Nov 29 2012, 17:47) *
Не совсем понял) Вы вроде приводили скрипт в котором можете с легкостью с генерировать нужные кодовые блоки.


Спасибо

Думал что может в кодере из matlab тоже ошибка. У вас нету сведений о его правильности?
slash_spb
Цитата(Vehfl @ Nov 30 2012, 09:54) *
Спасибо

Думал что может в кодере из matlab тоже ошибка. У вас нету сведений о его правильности?


Думаю в matlab'е с кодированием все правильно, такую бы ошибку быстро нашли бы. Но можно проверить. А по поводу ваших скоростей, кодирование не работает на скоростях где число проверочных бит больше чем число данных. Наверное да, кодер. Хотя алгоритм кодирования в dvb-s2 относительно просто.
Vehfl
Цитата(slash_spb @ Nov 30 2012, 10:42) *
Думаю в matlab'е с кодированием все правильно, такую бы ошибку быстро нашли бы. Но можно проверить. А по поводу ваших скоростей, кодирование не работает на скоростях где число проверочных бит больше чем число данных. Наверное да, кодер. Хотя алгоритм кодирования в dvb-s2 относительно просто.

Тогда был бы признателен если вы как-нибудь передали мне блоки закодированные, например на почту. Вы могли бы послать мне их?
slash_spb
Цитата(Vehfl @ Nov 30 2012, 14:05) *
Тогда был бы признателен если вы как-нибудь передали мне блоки закодированные, например на почту. Вы могли бы послать мне их?


Хотя я ошибся, кодирование там не простое, т.к. используется ступенчатая матрица. Кодированные блоки вы с легкостью получите в матлабе и они будут правильные, я бы вам их и послал) Скорее всего тот кодек LDPC который вы используете был написан для стандартов WiMAX, WiFi в которых минимальная скорость кодирования 1/2. В стандарте WiMAX описано три алгоритма кодирования, какой-то из них реализован в вашем кодеке. Ну может не в чистом виде, а в адаптированном для DVB-S2. Для меньших скоростей, которые используются в DVB-S2, нужно использовать алгоритм описанный в этом стандарте. Как-то так)
Neznaika
Не стал открывать новую ветку. Встала задача по реализации LDPC-кодера по стандарту DVB-S2 на ПЛИС. Разобравшись с алгоритмом формирования проверочных битов (как мне показалось), взялся за реализацию. Взял длину блока 64800 и скорость 1/2. Практически тут же уткнулся в проблему - "как это можно сделать"? Из таблицы стандарта получается так, что каждый информационный бит участвует в формировании минимум 8 проверочных бит. В итоге каждому проверочному биту с учетом цикличности соответствует около 5 информационных бит. Так вот, как можно приняв один информационный бит, добавить его одновременно к 8 проверочным битам (это 1 шаг расчета проверочных бит)? Если использовать блоки памяти, то можно ли одновременно записывать в 1 блок по нескольким адресам? Если например взять несколько блоков, то наверно это возможно, но таких блоков на мой взгляд нужно в данном примере 360.. это какую же ПЛИС надо использовать? Если делать все на регистрах, то у меня Quartus II не смог откомпилировать такой объем адресации. Из возможных вариантов - это использование опорной частоты минимум в 5 раз выше информационной скорости, чтобы последовательно записывать результаты в память. Может кто сталкивался с этой проблемой?
Serg76
Придется Вам еще почитать, потому как для этих кодов принято оперировать понятиями кодовых и проверочных узлов, кодовые - соответсвуют битам всего принятого кодового слова, включая информационные и проверочные биты, а проверочные - соответствуют ортогональным проверочным уравнениям.
Neznaika
Я не против почитать, но было бы чего... Оперировать можно чем угодно, лишь бы только понимать о чем речь. Основная информация на мою тематику на буржуйском языке, а там кто как переведет. В ИНЕТе наткнулся на пару статей по реализации LDPC-кодера. Обе недоступны бесплатно. Вот одна из них... http://link.springer.com/chapter/10.1007%2...-642-35603-2_34 К моей радости они дают 2 первые страницы статьи, на одной из которой приведена искомая архитектура, но не все в ней понятно... Например, почему выполняются 360-битные операции... для чего нужен цикличный сдвиг в регистрах... и по структуре получается, что не Р(i)=Р(i) xor P(i-1), a сложение через 360 бит.
Serg76
Не надо, как говорится, рассказывать сказки, литературы по LDPC полно разной. Да, большинство на английском, но тут уж извините. попадаются статьи и на русском, как говорится, ищите и обрящите )))) для начала откройте стандарт по DVB-S2 ETSI EN302307, где расписано как формируются строки проверочной матрицы

Кстати, там же вкратце приведен алгоритм декодирования на примере расширенного кода Хемминга
Dr.Alex
Цитата(Neznaika @ Jan 20 2014, 13:14) *
Не стал открывать новую ветку.


Ну во-первых для кода 1/2 кол-во рёбер графа ("эджей") равно (226800 - 1), то есть всего 3.5 штуки на один выходной бит.
Один эдж это один ксор, одно чтение и одна запись. Так как у вас память двупортовая в плисине, то значит частота повысится всего в 3.5 раза, а для самого злостного кода 3/5 - в 4.4 раза.

Распараллеливание в любое кол-во раз до 360 тоже легко делается, вечером объясню, если ещё нужно будет, а щас времени совсем нет..
Neznaika
Спасибо за ответы) Со стандарта я конечно же и начинал... в нем указано как формируется кодовое слово (считаются проверочные биты), про матрицу не помню. Что касается разных переводов, то в одной литературе variable node и information node, как я понял одно и тоже... смысл один и тот же. Ну да бог ним) Почесал в очередной раз репу... пригляделся к способу формирования проверочных битов в памяти приведенной выше архитектуры. В первой строке похоже стоят проверочные биты... P0, P90, P180... и т.д... а в первом столбце P0, P1, Р2 и т.д.... Тогда действительно можно первую строку посчитать за один такт, зная правильные индексы информационных бит и применяя сдвиг в 360-битных регистрах, так чтобы, например, P0 соответствовали биты в регистрах его формирующих. Информационные биты идут в последовательном порядке... и правильно сдвинув регистры, а потом сложив мы получив верные Рi во всей 360-битной строке. Эти самые индексы можно, как мне кажется легко вычислить используя таблицы из Appendix A прикрепленной статьи. Но вот дальше интересно... пусть сохраним первую строку в буфере... вычисляем 2-ую строку... складываем ее с первой... вычисляем третью.. складываем со второй... вроде все получается... Р1=Р1 xor P0, P2=P2 xor P1... это что касается первого столбца... Р91=Р91 xor P90, P92=P92 xor P91... что касается второго... Но! Нет связи между Р89 и Р90... в стандарте вроде процесс идет непрерывно... и мы должны проделать операцию P90=P90 xor P89.... здесь же она выпадает... Почему? Или я опять не правильно где то понял?


Цитата(Dr.Alex @ Jan 21 2014, 12:45) *
Ну во-первых для кода 1/2 кол-во рёбер графа ("эджей") равно (226800 - 1), то есть всего 3.5 штуки на один выходной бит.
Один эдж это один ксор, одно чтение и одна запись. Так как у вас память двупортовая в плисине, то значит частота повысится всего в 3.5 раза, а для самого злостного кода 3/5 - в 4.4 раза.

Распараллеливание в любое кол-во раз до 360 тоже легко делается, вечером объясню, если ещё нужно будет, а щас времени совсем нет..

Спасибо) Да, было бы интересно... я не считал вашим способом, немного не понятно. Мне казалось, что частота для 1/2 может повыситься только в 2 раза, ведь на 1 информационный приходится 2 бита кода. В предложенной архитектуре пока не понятно что там происходит с частотами. Допустим есть 2 входных буфера (по 90 регистров в 360 бит), пока вычисляем проверочные биты, входные записываем во второй входной буфер... а дальше нужно как то считать с удвоенной скоростью и входные биты и проверочные... но пока подвис вопрос с потерей одного вычисления...
Dr.Alex
Цитата(Neznaika @ Jan 21 2014, 15:56) *
Мне казалось, что частота для 1/2 может повыситься только в 2 раза, ведь на 1 информационный приходится 2 бита кода.


Ну вы же сами писали про "использование опорной частоты минимум в 5 раз выше информационной скорости", только посчитали неверно.
На каждый парити-нод в коде 1/2 влияют 7 инфо-нодов (это называется "градус" нода). Кроме нулевого парити-нода - у него градус всегда на 1 меньше. Всего парити-нодов 32400, а всего бит на выходе 64800. Поэтому на каждый выходной бит по 3.5 операций.

Вообще, я вижу, вы уже вышли на правильный путь. Вам даже в статье "инверсную" таблицу посчитали, где вычисляется индекс инфо-нода через номер парити-нода и сдвиг. (В отличие от оригинальных таблиц стандарта, где вычисляется индекс парити-нода через номер инфо-нода.) Когда я делал, то пришлось сначала самому доходить до необходимости всего этого, а потом писать прогу для генерации такой таблицы.

Разбираться досконально с первой половиной вашего поста у меня нет возможности, так что просто скажу в каком порядке вам нужно работать::

1) Написать на сях кодер, использующий таблицу из стандарта, тупо по алгоритму из стандарта, безо всякого параллелизма. Это будет образцовый кодер, там трудно ошибиться.

2) Написать кодер, использующий "инверсную" таблицу из вашей доки, опять же безо всякого параллелизма. Сравнить с образцовым.

3) Если правильно, добавить параллелизм (раз 4-8, больше не нужно).

С проблемой из первой половины поста вы разберётесь ещё когда будете делать первый пункт.
Neznaika
Спасибо) В целом стратегия понятна. Любопытно все-таки разобраться с "непоняткой" в архитектуре. Как говорится мы не ищем легких путей, и не из тех, кто "умный" и"нормальный герой") Так что барабан мне в руки...
SKov
Цитата(Dr.Alex @ Jan 22 2014, 02:00) *
..это называется "градус" нода..

Интересный термин. Можно какую-то ссылку, где бы он использовался?
Dr.Alex
Цитата(SKov @ Jan 22 2014, 16:57) *
Интересный термин. Можно какую-то ссылку, где бы он использовался?

Да хотя бы в стандарте поищите "degree"..

The number of edges adjacent to a node is called the degree of that node.
SKov
Цитата(Dr.Alex @ Jan 22 2014, 21:12) *
Да хотя бы в стандарте поищите "degree"..

The number of edges adjacent to a node is called the degree of that node.

Спасибо, я понял.
Перевод degree как градус в данном контексте - это сильно! wink.gif
Порядок, степень, валентность, да что угодно, но не градус.
Neznaika
В процессе реализации кодера возник небольшой вопрос. Собрать то я собрал кодер для N=64800, но отладить его в симуляторе Quartus довольно сложно из-за большого объема данных. Симулирует около 15 минут. Решил переключиться на короткий код N=16200, симуляция занимает около 1.5-2 минут. Так вот стал копаться с таблицами, написал программу для получения инверсной таблицы для короткого кода. Но какого размера брать входные сообщения? Например для 1/2 q=25... напрашиваются сообщения в 16200/2/25=324 бита. В стандарте вроде как приведен пример для длинного кода и длина сообщений 360 бит. В какой то статье видел фразу, что М=360 для всех кодов. То ли имеется в виду для всех кодовых скоростей длинного кода, то ли для кодов включая короткие. Взял 360 бит, рассчитал под них инверсную таблицу, получилась цикличная таблица, но в ней кое-где отсутствует некоторые позиции информационных бит для проверочных бит с шагом 25 (В частности: P_186 {I_169,I_236,I_5767,I_6091,I_6875,I_7199,I_7369,I_7436} и P_211{I_170,I_237,I_5768,I_6092,I_6876,I_7370,I_7437}) . Может все-таки надо брать длину в 324 бита или это связано с тем что код короткий, а может вообще ошибка в программе расчета?
Dr.Alex
Эхе-хе.... Незнайка - Незнайка.. Откуда вы всё это взяли..

Длина "сообщения" шортфрейма 1/2 составляет 7200 бит.

Не надо так уверенно говорить что вы там "написали программу для инверсной таблицы" и всё такое, это не столь простое дело.
Ведь вы даже ещё не разобрались с кодом, что для меня очевидно. Поэтому забудьте о шквартусе и симуляции, а пишите на сях, как я вам говорил, пишите и сравнивайте.
Neznaika
Да-да) До вчерашнего вечера я думал что при 1/2 в коротком коде 8100 информационных бит. Покопался в ИНЕТе и все-таки раздобыл статью в которой была исчерпывающая таблица) Думаю достаточно скорректировать программу для инверсной таблицы под 7200 бит и будет то, что нужно. Она по-любому написана, только вот считает пока не правильно crying.gif



Сменил количество информационных символов и уваля! Инверсная таблица получилась такая какая и должна быть) yeah.gif
_Anatoliy
Цитата(Neznaika @ Jan 29 2014, 08:13) *
Покопался в ИНЕТе и все-таки раздобыл статью в которой была исчерпывающая таблица

А можно эту статью посмотреть?
Serg76
Мдя..., это по нашему. Вместо того, чтобы изучить стандарт, в котором собрана вся необходимая информация, Незнайка шерстит ИНЕТ и ищет инфу в непонятных статьях. Ну что же, Бог в помощь ))))
Dr.Alex
Присоединяюсь, в стандарте всё это есть. Кстати и документик-то небольшой, 78 страниц всего.. И всё на видных местах, казалось бы..
Neznaika
Цитата(_Anatoliy @ Jan 29 2014, 11:01) *
А можно эту статью посмотреть?


Действительно есть) Таблица 5b... она в разделе БЧХ находилась, потому и не попалась на глаза... но благодаря моей уникальной невнимательности были найдены несколько полезных статей, где более полно и раскрыто написано о LDPC кодировании/декодировании по DVB-S2 maniac.gif
_Anatoliy
Цитата(Neznaika @ Jan 29 2014, 10:16) *
Действительно есть) Таблица 5b... она в разделе БЧХ находилась, потому и не попалась на глаза... но благодаря моей уникальной невнимательности были найдены несколько полезных статей, где более полно и раскрыто написано о LDPC кодировании/декодировании по DVB-S2 maniac.gif

Спасибо!
А по невнимательности мы ещё посоревноваться сможем,коллега rolleyes.gif
Neznaika
И снова здравствуйте! Были сделаны 2 варианта кодеров с разными структурами и затрачиваемыми ресурсами. Можно и 3 вариант замутить, он будет оптимальнее первых, но не суть. Взялся теперь за реализацию декодера. Довольно много тонкостей и деталей по оптимизации архитектуры с использованием регулярности кода. В одной статье наткнулся на предлагаемую архитектуру, но никак не могу понять откуда берутся адреса сдвигов для Barrel Shifter и адреса для чтения из RAM значений ветвей Check Node. Схему и статью прилагаю. Помогите прозреть!
Maverick
Цитата(Neznaika @ Feb 19 2014, 11:06) *
И снова здравствуйте! Были сделаны 2 варианта кодеров с разными структурами и затрачиваемыми ресурсами. Можно и 3 вариант замутить, он будет оптимальнее первых, но не суть. Взялся теперь за реализацию декодера. Довольно много тонкостей и деталей по оптимизации архитектуры с использованием регулярности кода. В одной статье наткнулся на предлагаемую архитектуру, но никак не могу понять откуда берутся адреса сдвигов для Barrel Shifter и адреса для чтения из RAM значений ветвей Check Node. Схему и статью прилагаю. Помогите прозреть!

спасибо за статью - интересная...
andyp
Не знаток DVD-S2 кода, но:

Shift Value с Figure 3 - это x из формулы (2) статьи. Про x говорится, что он определяется в стандарте и зависит от скорости кода (code rate).

"Reading address - это вроде бы q из того же соотношения. Но тут я не до конца уверен." - здесь точно наврал. q - это размер банка на стадии Check Nodes Message Mapping
Neznaika
"The shuffling offsets and addresses can be extracted from the x tables provided by [2]" - Сдвиги перестановщика (на мой взгляд Berrel Shifter) и адреса могут быть получены из Х-таблиц ссылки/статьи [2]... [2] - это стандарт DVB-S2 в котором приведены таблицы перестановок, но как получаются из них адреса и сдвиги, приведенные на рисунке? Для меня загадка...
andyp
Думал долго... Для меня тоже. Как работает shuffling network - вообще вопрос. Первый Read address на мой взгляд должен быть 54 т.к. именно этот CN обновляется первым. Хотя, может и не понимаю что...
Dr.Alex
Цитата(andyp @ Feb 20 2014, 01:36) *
Думал долго... Для меня тоже. Как работает shuffling network - вообще вопрос. Первый Read address на мой взгляд должен быть 54 т.к. именно этот CN обновляется первым. Хотя, может и не понимаю что...


Совершенно неважно, какой ЧН "обновляется первым", тем более что в статье распараллеливание в 360 раз, и кстати первым месседжем может быть любой из 7 (в 1/2 градус чекнодов равен 7).

А те числа могут быть просто случайными. Поскоку принцип очевиден, то сами они ничего не решают.
andyp
Цитата(Dr.Alex @ Feb 20 2014, 02:02) *
Совершенно неважно, какой ЧН "обновляется первым", тем более что в статье распараллеливание в 360 раз, и кстати первым месседжем может быть любой из 7 (в 1/2 градус чекнодов равен 7).

А те числа могут быть просто случайными. Поскоку принцип очевиден, то сами они ничего не решают.


Не уверен на счет того, что все CN имеют одинаковую степень.Для нулевого CN в таблице стандарта только 4 подходщих значения х (равных 0 или кратных 90) - 2700, 6030, 0,16290. Остальные ребра в нулевой CN вроде не попадают.
Dr.Alex
Цитата(andyp @ Feb 20 2014, 03:42) *
Не уверен на счет того, что все CN имеют одинаковую степень.Для нулевого CN в таблице стандарта только 4 подходщих значения х (равных 0 или кратных 90) - 2700, 6030, 0,16290. Остальные ребра в нулевой CN вроде не попадают.


А вам и не нужно быть уверенным, я вам это просто сообщаю как факт. В нормал фреймах градусы чекнодов одинаковы, только у нулевого градус на единицу меньше. Почему вы насчитали для нулевого 4 вместо 6 я тоже знаю, но уж сами разберитесь (если вам вообще это нужно конечно..)
Neznaika
Спасибо за ответы) Пока не верю в случайность чисел... еще покумекаю... Нашел очередную статью, где на одном из слайдов указан принцип формирования адресов сдвигов и адресов chek node. На мой взгляд так и должно быть, но опять не вижу пока соответствия с выше приведенным рисунком. Есть такой товарищ... Falcao, вот он много чего наработал на эту тему... но опять таки не все ясно... эту же архитектуру он немного раскрывает, но опускает адресацию, ссылаясь на недоступную мне статью...
Gomes, M., Falcão, G., Silva, V., Ferreira, V., Sengo, A., and Falcão, M. (2007b). Flexible
Parallel Architecture for DVB-S2 LDPC Decoders. In Proceedings of the IEEE Global
Telecommunications Conf. (GLOBECOM’07), pages 3265–3269.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.