Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Open-source софт для проектирования микросхем
Форум разработчиков электроники ELECTRONIX.ru > Cистемный уровень проектирования > Разработка цифровых, аналоговых, аналого-цифровых ИС
BarsMonster
Поднимаю вопрос, который уже упоминался несколько лет назад в некроветках : http://electronix.ru/forum/index.php?showt...p;hl=opensource , http://electronix.ru/forum/index.php?showt...p;hl=opensource

1) Работал ли кто в последние годы с open-source софтом для разработки микросхем, с последующим выводом результата в кремний? icarus, Electric (http://www.staticfreesoft.com/) и другие...
С чем конкретно, и как впечатления?

Интересует все, что между синтезируемым Verilog на входе и GDS на выходе.

2) Может ли что-то opensource в природе хотя-бы частично читать и использовать дизайн-киты и либы, которые выдают в компаниях, запускающих шаттлы?

Сразу хочу заметить, что у меня пока есть время и интерес поковыряться, и возможность запороть пару вариантов кристалла.
В противном случае альтернативы коммерческому софту не было бы.
aht
Это интересный вопрос, я когда-то начинал заниматься этим, но сейчас совсем нет времени (да и коммерческих тулов имеются целые бандлы).

С точки зрения самой возможности существования таких тулов особых препятствий нет - основные форматы открыты и задокументированы (lib, sdc, itf (из которого генерятся tlu+ и nxtgrd)).
Проблемы в QoR, удобстве и поддержке...

Некоторые ссылки ниже:
http://www.gpleda.org/
http://en.wikipedia.org/wiki/Comparison_of_EDA_software
http://opencircuitdesign.com/magic/index.html
BarsMonster
А видел ли кто что-то, что может цифровые схемы синтезировать из стандартных ячеек?

Цитата(aht @ Mar 13 2013, 22:42) *
Это интересный вопрос, я когда-то начинал заниматься этим, но сейчас совсем нет времени (да и коммерческих тулов имеются целые бандлы).

То что коммерческого навалом - это понятно, вопрос в цене :-)
aht
Суть в том, что ниша фриварного EDA-софта достаточно узка:
- крупные фирмы имеют сотни и тысячи лицензий
- средние фирмы покупают дешёвые лицезии (например, Symica или Polyteda) или выторговывают нужные цены у "большой тройки", зачастую используя, например, BE design как сервис.
- студенты имеют полные бандлы университетских лицензий и привыкают работать с ними

Соответственно сабж - удел разработчиков-одиночек, скорее всего.
BarsMonster
Подсказали Gnu Electric - и это уже выглядит очень оптимистично.
Как минимум один человек использует для реального кремния, вместе с коммерческими экстракторами паразитов.

По функционалу в одной программе есть практически все что только может быть, а запускается вообще без каких-либо танцев с бубном (с Cadence IC не сравнить).

aht
Цитата(BarsMonster @ Mar 24 2013, 14:29) *
Как минимум один человек использует для реального кремния, вместе с коммерческими экстракторами паразитов.

Для какого техпроцесса?
DRC/LVS чем делается?
BarsMonster
Цитата(aht @ Mar 25 2013, 21:00) *
Для какого техпроцесса?
DRC/LVS чем делается?

65нм чистый CMOS.
Экстракция/DRC - calibre drc+lvs/pex или hercules drc+starrcxt.
LVS встроенный в GNU Electric.
SFx
Ну что ? делаем российскую реализацию FPGA ? sm.gif
интересно, в Воронеже реально отпечатать?
BarsMonster
Цитата(SFx @ Mar 26 2013, 20:26) *
Ну что ? делаем российскую реализацию FPGA ? sm.gif
интересно, в Воронеже реально отпечатать?

[off]За ваши деньги любой каприз. И в Воронеже реально. Но по нормам 3мкм.[/off]
SamuraY
Вот проект MIPS32, созданный в Electric: http://www4.hmc.edu:8001/Engineering/158/0...ject/index.html
а потом изготовленный через MOSIS. На страничке куча исходников, в т.ч. и для Electric.
andrew_b
Оригинал новости: http://www.opennet.ru/opennews/art.shtml?num=40365

Компания Tachyon DA открыла код полноценного симулятора Verilog

Компания Tachyon Design Automation перевела в разряд свободных проектов HDL-симулятор OSS CVC, ранее распространяемый в виде проприетарного продукта. Таким образом, сообщество получило первый свободный симулятор для языка описания и моделирования электронных систем Verilog, полностью соответствующий стандарту IEEE 1364. Ранее доступные открытые HDL-симуляторы, обеспечивали лишь частичную поддержку актуальных спецификаций Verilog.

OSS CVC является одним из самых быстрых симуляторов Verilog и предоставляет возможность симуляции как в режиме интерпретации с возможностью интерактивной отладки, так и в режиме компиляции с формированием исполняемых файлов. Пакет может использоваться для любых видов симуляции Verilog, включая поддержку моделей SDF и точных задержек на логическом элементе (Accurate Delay Gate).

Поддерживаются некоторые элементы расширения SystemVerilog, что позволяет упростить использование OSS CVC с современными методами проектирования, использующими System C или обработку вывода инструментами ESL. Возможно использование интерфейса DPI PLI для прямого вызова из модели Verilog функций на языках C и C++ или для обращения к функциям Verilog из кода на языках C и C++. Уровень поддержки SystemVerilog достаточен для запуска всех популярных библиотек PFGA. При этом OSS CVC поддерживает только статические типы, без возможности использования динамических классов.

Код симулятора открыт под модифицированной свободной лицензией Artistic, применяемой в проектах Perl Foundation. Лицензия запрещает использование CVC в составе проприетарных продуктов, для использования в которых предлагается отдельная коммерческая лицензия. В свободных проектах код CVC может использоваться без ограничений, в том числе допускается создание форков, при условии смены имени и отражении в документации внесённых изменений.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.