Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Отечественные стойкие микроконтроллеры и процессоры
Форум разработчиков электроники ELECTRONIX.ru > Сборка РЭУ > Вопросы надежности и испытаний > Спецфакторы и радиационная стойкость
BarsMonster
Добрый день,

Может кто подсказать, кто у нас выпускает стойкие микроконтроллеры для космоса?
Особенно интересны не гражданские кристаллы протестированные на 50кРад без флеша, а изготовленные по стойким технологиям, с троированием, ECC и проч.
В крайнем случае рассматриваются и процессоры с внешней памятью.

Миландр - понятно, НИИЭТ - 1874BE36 и ко похожи по стойкости на гражданские кристаллы, стойкие МК - без памяти (1830ВЕ32).... Кто еще?

Вопрос не праздный, данные по стойкости запросим официальным образом.
monitor7
Цитата(BarsMonster @ Nov 4 2013, 15:21) *
Добрый день,

Может кто подсказать, кто у нас выпускает стойкие микроконтроллеры для космоса?
Особенно интересны не гражданские кристаллы протестированные на 50кРад без флеша, а изготовленные по стойким технологиям, с троированием, ECC и проч.
В крайнем случае рассматриваются и процессоры с внешней памятью.

Миландр - понятно, НИИЭТ - 1874BE36 и ко похожи по стойкости на гражданские кристаллы, стойкие МК - без памяти (1830ВЕ32).... Кто еще?

Вопрос не праздный, данные по стойкости запросим официальным образом.


Что же Вы понимаете под словами "у нас", если не упоминаете известных российских производителей радстойких БИС (к НИИЭТ замечание не относится)
HardJoker
Цитата(BarsMonster @ Nov 4 2013, 14:21) *
Добрый день,

Может кто подсказать, кто у нас выпускает стойкие микроконтроллеры для космоса?


НИИ Сапфир - Б1620, Б1825
BarsMonster
Цитата(monitor7 @ Nov 4 2013, 16:38) *
Что же Вы понимаете под словами "у нас", если не упоминаете известных российских производителей радстойких БИС


"У нас" - имеется ввиду все отечественные производители (Россия + РБ).
Исчерпывающий список производителей радстойких БИС как раз было бы полезно увидеть, чтобы точно ничего не пропустить.
V_G
Уверены, что этот список открытый?
Я не уверен, что НИИ "Сапфир" живой, хотя не был там лет 20.
HardJoker
Цитата(V_G @ Nov 6 2013, 17:03) *
Я не уверен, что НИИ "Сапфир" живой, хотя не был там лет 20.


Живой, хотя весь первый этаж сдан коммерсантам + арендаторы разной паршивости.
BarsMonster
Цитата(V_G @ Nov 6 2013, 16:03) *
Уверены, что этот список открытый?


Мы готовы получать его официально-бюрократическим способом - главное понять где именно нужно получать :-)
BarsMonster
Вот например на http://kosrad.ru/index.php/ru/ - там все, что производится можно найти?
HardJoker
Цитата(BarsMonster @ Nov 8 2013, 03:40) *
Вот например на http://kosrad.ru/index.php/ru/ - там все, что производится можно найти?


Там ничего не производится, а только испытывается и сертифицируется для себя. Побочная деятельность, так сказать.
zzzzzzzz
Если понадобятся свои стойкие ASIC под задачу - можем разработать и производить.
Процев, правда, не обещаю. Не быстро и не дёшево. Но, по стандартам.
Рыба
Цитата(zzzzzzzz @ Nov 8 2013, 11:44) *
Если понадобятся свои стойкие ASIC под задачу - можем разработать и производить.
Процев, правда, не обещаю. Не быстро и не дёшево. Но, по стандартам.

А как бы узнать масштаб бедствия по срокам и ценам? Интересует перевод проекта из ПЛИС 70кЯчеек на рад хард АСИК.
zzzzzzzz
Цитата(Рыба @ Nov 9 2013, 12:30) *
А как бы узнать масштаб бедствия по срокам и ценам? Интересует перевод проекта из ПЛИС 70кЯчеек на рад хард АСИК.
Самый простой и точный способ - прислать поведенческий HDL чипа. Если нужны гарантии конфиденциальности - обсуждаемо. Хотя, можно и по-джентльменски, на словах.
yes
Цитата(zzzzzzzz @ Nov 9 2013, 17:00) *
Самый простой и точный способ - прислать поведенческий HDL чипа. Если нужны гарантии конфиденциальности - обсуждаемо. Хотя, можно и по-джентльменски, на словах.


а 70к это наверно 3000 проазик - вот мне тоже интересно - скажите, сумеете сделать (дизайн занимающий 80% и с тактовой ~30МГц в данной ПЛИС), без оценки устойчивости по спецфакторам и стоимости, просто реализуемость и время?
это сильно предварительно, вопрос возникает иногда, хотелось бы понимать есть возможность или нет

zzzzzzzz
Цитата(yes @ Nov 11 2013, 13:35) *
а 70к это наверно 3000 проазик - вот мне тоже интересно - скажите, сумеете сделать (дизайн занимающий 80% и с тактовой ~30МГц в данной ПЛИС), без оценки устойчивости по спецфакторам и стоимости, просто реализуемость и время?
это сильно предварительно, вопрос возникает иногда, хотелось бы понимать есть возможность или нет


1. Заполняемость ПЛИС - фактор, характеризующий лишь от части. Ведь при замене библиотеки, на которой производится синтез новой схемы, объем логики будет значительно уменьшаться. Так как в ASIC-е будет только реально необходимое, без лишнего. Всегда. А вот на сколько - зависит от проекта. Поэтому, сказать точно можно только уже пересинтезировав на основе поведенческого HDL. Со структурным путь несколько дольше, и надо иметь хорошую либу на тот чип, на котором был реализован проект. И может возникнуть "тихий ужас", если Ваш дизайн был структурным и асинхронным, с подгонкой на живой ПЛИС. sm.gif
2. Также, за счет отсутствия лишних (паразитных при реализации в ПЛИС) трасс можно ожидать и существенное увеличение быстродействия. Точно же сказать можно только после Spice-моделирования.
3. Есть варианты выбора технологий и ФАБа.
4. Есть возможность разработки собственной библиотеки стандартных ячеек. Естественно, более высокого качества, чем бесплатные сэмплы от ФАБов и даже многие дорогие. Например, полностью на кольцевых транзисторах (что есть редкая экзотика, но весьма хорошо для стойкости и утечек).
5. Указанные Вами числа ложатся на ТП 0.18 мкм и не представляют больших проблем.
Сложности могут возникнуть при верификации Вами перекомпиллированного на ASIC проекта (это может отнять время и усилия, если тестирование было плохо формализовано), тестирования готовых чипов на автоматических измерительных комплексах, с корпусированием многоножек и т.п.

Вывод - более чем возможно.
Однако, пожалуйста, не нужно грузить разработчика оценочными работами по синтезу если вероятность реальной работы близка к 0. Уважайте "грудь уборщицы". sm.gif
Рыба
Цитата(zzzzzzzz @ Nov 11 2013, 15:45) *
Вывод - более чем возможно.
Однако, пожалуйста, не нужно грузить разработчика оценочными работами по синтезу если вероятность реальной работы близка к 0. Уважайте "грудь уборщицы". sm.gif

Неужто нельзя даже грубо оценить, без предварительного синтеза? А то замкнутый круг получается.
zzzzzzzz
Цитата(Рыба @ Nov 11 2013, 16:13) *
Неужто нельзя даже грубо оценить, без предварительного синтеза? А то замкнутый круг получается.
Можно грубо, если более точно поставите задачу. Или Вы предлагаете мне Вам вопросы задавать? Неужели нельзя выслать HDL-модель? sm.gif
yes
Цитата(zzzzzzzz @ Nov 11 2013, 15:45) *
Однако, пожалуйста, не нужно грузить разработчика оценочными работами по синтезу если вероятность реальной работы близка к 0. Уважайте "грудь уборщицы". sm.gif


я иногда переношу frontend ПЛИС проектов в АЗИКи (ну то есть проект, обычно, работает с реальными сигналами и верифицируется на ПЛИС) и считаю, что процедура оценки является какбы обязательной для разработчика, а учитывая стоимость проектов (последний завершенный по 40нм), то даже с 0 вероятностью это получается экономически оправданным, и делать ее можно и нужно из разных входных данных. например, мы HDL никогда не даем и не будем давать (это не моя точка зрения, а политика фирмы, стандартная, между прочим), backend получает мапированный на свою библиотеку нетлист и констрейны

просто есть идеи по сильному упрощению проекта и переносу backend-а в отечественные "дизайн центры", но получить какое-то внятное представление о состоянии этих центров весьма затруднительно. впечатление, что никому это не надо и работать не хочется - типа мы так загружены, так загружены, а на экспереминтальное - "потратить немного денег и посмотреть, что получится", я одобрения не получаю

------------

upd: я про состояние отечественных центров сужу не по конференции, а по реальному посещению микрона, ангстрема,
но допускаю мысль, что может быть иницианивная группа товарищей организует фаблесс центр, но тут же приходит другая мысль - что не имея заказов, эти центры не имеют опыта, то есть порочный круг...
zzzzzzzz
Цитата(yes @ Nov 11 2013, 17:05) *
я иногда переношу frontend ПЛИС проектов в АЗИКи (ну то есть проект, обычно, работает с реальными сигналами и верифицируется на ПЛИС) и считаю, что процедура оценки является какбы обязательной для разработчика, а учитывая стоимость проектов (последний завершенный по 40нм), то даже с 0 вероятностью это получается экономически оправданным, и делать ее можно и нужно из разных входных данных. например, мы HDL никогда не даем и не будем давать (это не моя точка зрения, а политика фирмы, стандартная, между прочим), backend получает мапированный на свою библиотеку нетлист и констрейны
Понятно. Тогда сами выбирайте и ФАБ, заключайте с ним договор, получайте NDA и какие-то либы (только для синтеза RTL) на выбранный Вами же техпроцесс.
Это нормально. И ДЦ ФАБа с Вами будет говорить на одном языке. Один вопрос - кто будет делать топологию и заниматься её верификацией?

Цитата
просто есть идеи по сильному упрощению проекта и переносу backend-а в отечественные "дизайн центры", но получить какое-то внятное представление о состоянии этих центров весьма затруднительно. впечатление, что никому это не надо и работать не хочется - типа мы так загружены, так загружены, а на экспереминтальное - "потратить немного денег и посмотреть, что получится", я одобрения не получаю
Да Вы вступите в диалог. Там всё и выясните.
Самое продвинутое, что есть в РФ - это 90(?) нм и 180 нм на "Микроне".
В принципе, они гоняют шаттлы для себя. Постучитесь.
Мне приходилось по их 180 ходить. Проект заработал нормально (правда, библиотечку ячеек делали под себя сами). И Вам удачи!
Не уверен, правда, что для Вас станут генерить чип без оформления ОКР или близко к этому.
Насколько я знаю, они действительно загружены.

Цитата(yes @ Nov 11 2013, 17:05) *
... upd: я про состояние отечественных центров сужу не по конференции, а по реальному посещению микрона, ангстрема,
но допускаю мысль, что может быть иницианивная группа товарищей организует фаблесс центр, но тут же приходит другая мысль - что не имея заказов, эти центры не имеют опыта, то есть порочный круг...
Заказы не часты, но есть. И опыт тоже есть. Я знаю несколько. Хотя, фаблесс-центр - это слишком громко сказано, конечно. Обычно, это лишь одна часть из деятельности конторы. Выжить чисто фаблессом проблематично.
Sanyao
BarsMonster, НИИСИ РАН занимается контроллерами стойкими, по моему, даже RadHard by Design, с защитой от ТЗЧ. Одного не знаю - в каком состоянии сейчас работы, есть ли образцы "на продажу".
ЗЫ. на сайте kosrad.ru, который здесь упоминался, можно найти перечень испытанных, в основном в интересах Роскосмоса, изделий на стойкость к ионизирующим излучениям, в т.ч. ТЗЧ, но нужно получить доступ через бумажки держателям системы.
BarsMonster
Цитата(Sanyao @ Nov 13 2013, 06:49) *
BarsMonster, НИИСИ РАН занимается контроллерами стойкими, по моему, даже RadHard by Design, с защитой от ТЗЧ. Одного не знаю - в каком состоянии сейчас работы, есть ли образцы "на продажу".

Да, там есть Комдивы. Просмотрел весь список МОП 44, нашлись. Надеюсь, не пропустил какие-нибудь хорошие, но слишком секретные процессоры, которые даже в МОП 44 не включают :-)

Цитата(Sanyao @ Nov 13 2013, 06:49) *
ЗЫ. на сайте kosrad.ru, который здесь упоминался, можно найти перечень испытанных, в основном в интересах Роскосмоса, изделий на стойкость к ионизирующим излучениям, в т.ч. ТЗЧ, но нужно получить доступ через бумажки держателям системы.

Да, бумажки туда делаются, но это еще не скоро... :-)
Sanyao
Еще Воронежский НИИЭТ занимается. Недавно они много чего испытывали радстойкого по ТЗЧ, и с неплохими результатами, но на их сайте как то перечень небогатый.
http://www.niiet.ru/chips/xris

PS. нашел то что они испытывали в разделе текущих разработок. http://www.niiet.ru/chips/nis . Так что образцы у них точно есть.
alexPec
Цитата(zzzzzzzz @ Nov 8 2013, 11:44) *
Процев, правда, не обещаю. Не быстро и не дёшево. Но, по стандартам.


А в чем с ними проблема, если описание, тем более поведенческое есть? Просто интересно.
И попутно, так, для общего развития: а чем вообще кроме спец. элементов (типа кольцевые затворы, и т.д., как ув. zzzzzzzz сказал) радиационно стойкие процы отличаются от обычных? Подозреваю, избыточные шины у них (на адреса, данные и т.д.) и коррекция ошибок "на лету" каким-нибудь хэммингом за счет избыточности. Может быть дублирование узлов? Но смысла вроде нет. Если уж кристалл словит какую-нибудь частицу, то энергии хватит не только один блок на кристалле, а все на кристалле накрыть, да еще и не один корпус наверно... Дублировать на уровне функциональных блоков наверно логичнее.
zzzzzzzz
Цитата(alexPec @ Nov 23 2013, 19:26) *
<br />А в чем с ними проблема, если описание, тем более поведенческое есть? Просто интересно.
Особых проблем нет. Просто развесистый универсальный проц по определению очень большой - сложности с ПВГ, верификацией и отбраковкой почти всегда. К тому же, для хорошей стойкости потребуется стойкая память программ. Это не флэш, как понятно.<br />Да и есть их уже немало. Даже в том же перечне. Хотя, всё зависит от конкретной задачи. Ведь если универсальности, гибкости и перезагрузки программы не требуется, то есть смысл решить задачу на специально оптимизированной под неё схеме.<br /><br /><br />
Цитата(alexPec @ Nov 23 2013, 19:26) *
&lt;br /&gt;И попутно, так, для общего развития: а чем вообще кроме спец. элементов (типа кольцевые затворы, и т.д., как ув. zzzzzzzz сказал) радиационно стойкие процы отличаются от обычных? Подозреваю, избыточные шины у них (на адреса, данные и т.д.) и коррекция ошибок &amp;quot;на лету&amp;quot; каким-нибудь хэммингом за счет избыточности. Может быть дублирование узлов? Но смысла вроде нет. Если уж кристалл словит какую-нибудь частицу, то энергии хватит не только один блок на кристалле, а все на кристалле накрыть, да еще и не один корпус наверно... Дублировать на уровне функциональных блоков наверно логичнее.&lt;br /&gt;
<br /><br />Хэминг иногда используется для данных извне, а вот резервирование - экзотика.<br />Про все отличия я тут расписывать не буду, наверное.<br />Считайте, что стойкие схемы (с приёмкой) отличаются от нестойких тем, что имеют осоьенную топологию и изготавливаются по специальному технологическому маршруту, а после изготовления кристаллов, проходят тестирование параметров на всех стадиях производства и всех оговоренных в ТУ режимах. Плюс ЭТТ и испытания.<br /><br />Это не значит, что коммерция совсем не стойкая. Какой-то уровень она может и держать. К примеру, мучали как-то PIC16 - несмотря на флэш, работал до 4е4 Рад Г. Но из какой-то другой партии могут оказаться и слабее. Да это не так и много.<br /><br />А что за мода в последнее время вспоминать про ТЗЧ? В ЦК КПСС про них узнали и теперь требуют всё больше и больше? <img src="style_emoticons/default/sm.gif" style="vertical-align:middle" emoid=":)" border="0" alt="sm.gif" />
Sanyao
Цитата(zzzzzzzz @ Nov 23 2013, 20:47) *
А что за мода в последнее время вспоминать про ТЗЧ?


В последнее время с уменьшением норм схемы все чувствительнее к этому делу. Да еще, к тому же, многие пытаются ставить на аппараты схемы в индастриал исполнении. И если по дозе у них, в общем то, все неплохо, то в плане ТЗЧ слабовато. Несколько потерянных аппаратов, в т.ч. военных, списали на ТЗЧ, поэтому всех и трясут. В последние годы стабильно испытывается по несколько сотен изделий в год, причем с каждым годом все больше.
zzzzzzzz
Цитата(Sanyao @ Nov 23 2013, 21:32) *
... Несколько потерянных аппаратов, в т.ч. военных, списали на ТЗЧ, поэтому всех и трясут. В последние годы стабильно испытывается по несколько сотен изделий в год, причем с каждым годом все больше.
Понятно, спасибо. Тренд, значит.
alexPec
Спасибо, zzzzzzzz. Просвятили sm.gif

А в чем заключается особенность топологии? Особенное расположение блоков? Принцип особенности - для чего?
zzzzzzzz
Цитата(alexPec @ Nov 23 2013, 22:54) *
&lt;br /&gt;Спасибо, zzzzzzzz. Просвятили &lt;img src=&quot;style_emoticons/default/sm.gif&quot; style=&quot;vertical-align:middle&quot; emoid=&quot;:)&quot; border=&quot;0&quot; alt=&quot;sm.gif&quot; /&gt;&lt;br /&gt;&lt;br /&gt;А в чем заключается особенность топологии? Особенное расположение блоков? Принцип особенности - для чего?&lt;br /&gt;
&lt;br /&gt;&lt;br /&gt;&lt;br /&gt;Особенная конструкция транзисторов. Высококачественная запитка. Меры для лучшего рассасывания зарядов от фототоков. Меры по предотвращению паразитного заряда под затвором. LDD-области для горячих электронов. Много чего...<br />Пардон, но мне как-то не хочется тут трактат выписывать. А часть инфо - вообще, личные и корпоративные ноу-хау.
Myron
Цитата(zzzzzzzz @ Nov 23 2013, 14:20) *
&lt;br /&gt;&lt;br /&gt;&lt;br /&gt;Особенная конструкция транзисторов. Высококачественная запитка. Меры для лучшего рассасывания зарядов от фототоков. Меры по предотвращению паразитного заряда под затвором. LDD-области для горячих электронов. Много чего...<br />Пардон, но мне как-то не хочется тут трактат выписывать. А часть инфо - вообще, личные и корпоративные ноу-хау.

Да и это не важно (интересно только разработчикам ИС). Важно - какие группы Мороза эти микроконтроллеры выдерживают. Или это тоже личные ноу-хау?
alexPec
Цитата(zzzzzzzz @ Nov 24 2013, 00:20) *
Особенная конструкция транзисторов. Высококачественная запитка. Меры для лучшего рассасывания зарядов от фототоков. Меры по предотвращению паразитного заряда под затвором. LDD-области для горячих электронов. Много чего..Пардон, но мне как-то не хочется тут трактат выписывать. А часть инфо - вообще, личные и корпоративные ноу-хау.


Мда, послушаешь умных людей и понимаешь, что ничего то ты не знаешь sm.gif
zzzzzzzz
Цитата(Myron @ Nov 23 2013, 23:42) *
<br />Да и это не важно (интересно только разработчикам ИС). Важно - какие группы Мороза эти микроконтроллеры выдерживают. Или это тоже личные ноу-хау?<br />
<br /><br /><br /> А Вы про что? Какие МК? Про температурный диапазон?
Примеры от Миландра здесь можно посмотреть: http://milandr.ru/index.php?mact=Products,...nt01returnid=67


Цитата(alexPec @ Nov 23 2013, 23:54) *
<br />Мда, послушаешь умных людей и понимаешь, что ничего то ты не знаешь <img src="style_emoticons/default/sm.gif" style="vertical-align:middle" emoid=":)" border="0" alt="sm.gif" /><br />
<br /><br /><br /> Ну, всего никто знать не может. Каждый в своем огороде крот. sm.gif
Myron
Цитата(zzzzzzzz @ Nov 23 2013, 17:24) *
Вы про что? Какие МК? Про температурный диапазон?

Причем тут температура? Речь идет о радстойкости.
zzzzzzzz
Цитата(Myron @ Nov 24 2013, 05:23) *
<br />Причем тут температура? Речь идет о радстойкости.<br />
<br /><br /><br />Думаю, если им позвонить и спросить, то скажут. А потом и учтённое ТУ можно получить.
Если Вам реально нужно, с внешним или масочным ПЗУ, или ПЗУ на пережигаемых перемычках не проблема сделать МК для дозы порядка 1 МРад.
У меня, например, такой проект (на поликремниевых перемычках) валяется заброшенным уже несколько лет на этапе изготовления опытных образцов по причине отсутствия заказчика. Оказалось, что никому не нужно.
А вообще, все вопросы - к минпромторгам, рогозинам и другой темной материи. sm.gif
Честно говоря, мне что-то предлагать на электрониксе уже давно поднадоело. И смысла нет никакого. Здесь нет ни одного человека, принимающего решения.
Посему, я лучше помолчу в дальнейшем.
Myron
Цитата(zzzzzzzz @ Nov 24 2013, 02:21) *
У меня, например, такой проект (на поликремниевых перемычках) валяется заброшенным уже несколько лет на этапе изготовления опытных образцов по причине отсутствия заказчика. Оказалось, что никому не нужно.

Проект никому не нужен, нужны готовые изделия и лучше в серии
psL
Цитата(zzzzzzzz @ Nov 24 2013, 12:21) *
Здесь нет ни одного человека, принимающего решения.

Все "реальные пацаны" уже в твиттере...
mi_ch
вот
http://multicore.ru/index.php?id=1299
yes
раз эта тема всплыла, про COTS технологии популярные у буржуев (в плане сертифицированные для космоса ес-сно)
можете прояснить? есть ли там перенос на библиотеку и т.п. или тупо берут и сертифицируют, то что есть?
например вот
http://www.aeroflex.com/ams/pagesproduct/d...8q5124msram.pdf
там и дозы и ключевые слова

бла-бла-бла
http://www.cotsjournalonline.com/articles/view/100168
Sanyao
Цитата(yes @ Nov 27 2013, 20:17) *
есть ли там перенос на библиотеку и т.п. или тупо берут и сертифицируют, то что есть?


Как я понимаю этот процесс - сертифицируют то, что есть, но с некоторыми оговорками. По сути процесс следующий - есть кристалл, который на испытаниях показал себя довольно хорошо - таких, например для той же статической памяти, немало. Договариваются с производителем о поставках этого кристалла со строгим соблюдением всех технологических тонкостей, т.е. маршрут и материалы производства полностью контролируемы и известны. Этот кристалл испытывают вдоль и поперек, корпусируют в толстый Rad-Pack и выпускают под своей маркой. Получается что вроде аэрофлекс, или 3D Plus, а внутри какой нибудь Cypress. Потребитель получает хорошую микросхему с известными характеристиками, с гарантией и сертификатами, но по цене меньше чем специально разработанная.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.