Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: MG Expedition ликбез ...
Форум разработчиков электроники ELECTRONIX.ru > Печатные платы (PCB) > Разрабатываем ПП в САПР - PCB development > Mentor-ExpeditionPCB
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81
Voyager
Цитата(fill @ Aug 23 2011, 14:08) *
Не находит эквивалентного набора в нетлисте. Иногда помогает выйти\войти rolleyes.gif
Глобально, есть правила помощи в поиске эквивалентных - спец. документ (старый Нажмите для просмотра прикрепленного файла) и даже видео тренинг.
Если коротко, то принцип - создать повторяемую нумерацию в таких подсхемах, т.е. типа R1_1 в первой, R1_2 во второй и т.д.

Также можете попробовать убирать последовательно элементы из выбранного для копирования - в какой-то момент операция состоится - поймете с каким(и) компонентами\связями проблема. Система очень чуткая - если в выбранном есть хотя бы одна связь не точно повторяемая в других подсхема, то копирования нет.



Что-то у меня совсем ничего не получается... В AppNote сказано, "Note: You must check the option, Absorb instance data into flat designs or single instance blocks, under the Project > Settings > Design tab in order for the packaging to be automatically absorbed". Но в Project -> Settings нет колонки под названием "Design". Может он в другом месте присутствует?
fill
Цитата(Voyager @ Aug 25 2011, 17:34) *
Что-то у меня совсем ничего не получается... В AppNote сказано, "Note: You must check the option, Absorb instance data into flat designs or single instance blocks, under the Project > Settings > Design tab in order for the packaging to be automatically absorbed". Но в Project -> Settings нет колонки под названием "Design". Может он в другом месте присутствует?


У вас проект случайно не для DxD? rolleyes.gif
Voyager
Цитата(fill @ Aug 25 2011, 17:43) *
У вас проект случайно не для DxD? rolleyes.gif


Да, именно DxDesigner rolleyes.gif
fill
Цитата(Voyager @ Aug 25 2011, 18:01) *
Да, именно DxDesigner rolleyes.gif


Ну дык, то написано для DC\DV laughing.gif
Voyager
Цитата(fill @ Aug 25 2011, 19:07) *
Ну дык, то написано для DC\DV laughing.gif


Ну а для DxD никак не получится?
fill
Цитата(Voyager @ Aug 26 2011, 10:21) *
Ну а для DxD никак не получится?


А зачем? В DxD и так всегда отображается реальное аннотированное значение, здесь нет разделения на два режима: Начальный и Instance.
DxD всегда находится в Instance.
Voyager
Цитата(fill @ Aug 26 2011, 10:32) *
А зачем? В DxD и так всегда отображается реальное аннотированное значение, здесь нет разделения на два режима: Начальный и Instance.
DxD всегда находится в Instance.


Тогда почему опция Copy Circuit не работает? Как это победить? rolleyes.gif
fill
Цитата(Voyager @ Aug 26 2011, 12:00) *
Тогда почему опция Copy Circuit не работает? Как это победить? rolleyes.gif


Не видя проекта, конкретно ответить не возможно. Возможные причины я уже называл.
Voyager
Цитата(fill @ Aug 26 2011, 12:14) *
Не видя проекта, конкретно ответить не возможно. Возможные причины я уже называл.


Проект прикрепил. В нем разведены три одинаковых фрагмента схемы. Хотелось бы получить такой же результат, но только с применением опции Copy Circuit
fill
Цитата(Voyager @ Aug 26 2011, 13:15) *
Проект прикрепил. В нем разведены три одинаковых фрагмента схемы. Хотелось бы получить такой же результат, но только с применением опции Copy Circuit


Все в EE7.9.2 работает
one_eight_seven
Здравствуйте. Подскажите, как сделать свойство, в котором можно было бы выбрать (ну или ввести) только два значения: Y или N.
Я создал в Property Definition Editor свойство Preferred, Max Number of Characters выбрал равным 1 - это прекрасно работает. Далее, Regular Expression ввел [NY], но любой символ прекрасно проходит. Могу написать "5", могу написать "G", могу оставить пустым - ошибок не возникает. Я хочу, чтобы это свойство обязательно было заполнено либо символом N либо символом Y. Что я сделал не так?
fill
Цитата(one_eight_seven @ Oct 7 2011, 13:18) *
Здравствуйте. Подскажите, как сделать свойство, в котором можно было бы выбрать (ну или ввести) только два значения: Y или N.
Я создал в Property Definition Editor свойство Preferred, Max Number of Characters выбрал равным 1 - это прекрасно работает. Далее, Regular Expression ввел [NY], но любой символ прекрасно проходит. Могу написать "5", могу написать "G", могу оставить пустым - ошибок не возникает. Я хочу, чтобы это свойство обязательно было заполнено либо символом N либо символом Y. Что я сделал не так?


У меня все работает (EE7.9.2), вводится только Y и N, при попытке ввести что-то другое получаю Нажмите для просмотра прикрепленного файла
one_eight_seven
Да, Fill, вы правы - в DxDesigner всё отлично, а в PartEditor'е не работает ограничение. Но главное - в DxDesigner'е работает.

P.S.: Но, по умолчанию, это свойство не overridable, поэтому, не особо важно.
ClayMan
Подскажите, есть ли какой-нибудь расширенный тренинг по HyperLynx? У меня лежат два - для SI и PI. Вроде бы был какой-то продвинутый тренинг еще, нет?
fill
Цитата(ClayMan @ Oct 18 2011, 17:55) *
Подскажите, есть ли какой-нибудь расширенный тренинг по HyperLynx? У меня лежат два - для SI и PI. Вроде бы был какой-то продвинутый тренинг еще, нет?


Пока не перевел.
ClayMan
А можно англоязычный вариант заполучить? rolleyes.gif
fill
Цитата(ClayMan @ Oct 19 2011, 11:33) *
А можно англоязычный вариант заполучить? rolleyes.gif


Ментор запретил распространять англоязычные тренинги.
ClayMan
Все понятно laugh.gif Шаг влево, шаг вправо - считаются побегом) Что ж, будем ждать переведенного варианта.
Volosatyi
При создании символа в DC-Symbol Editor нужно указывать Pin type. Я понимаю, что эти типы означают, вопрос в другом. Несут ли эти эти типы пинов какое-либо практическое применение? Другими словами, как разделение пинов по типам может помочь при проектировании схемы?
fill
Цитата(Volosatyi @ Oct 20 2011, 10:08) *
При создании символа в DC-Symbol Editor нужно указывать Pin type. Я понимаю, что эти типы означают, вопрос в другом. Несут ли эти эти типы пинов какое-либо практическое применение? Другими словами, как разделение пинов по типам может помочь при проектировании схемы?


Каждому типу ставится в соответствие тип пина на плате: S, L или T - используется при формировании топологии цепи.
one_eight_seven
Подскажите, как сделать так, чтобы Part Number, Part Name, Part Label и Reference Designator брались те, что я указал при создании Part. В данный момент Reference designator надо указывать в символе (тогда непонятно, зачем эта опция введена в Part Editor'е), А Part Name, label и number приходится вводить по два раза. Один раз в полях, при создании Part, и второй раз - в свойствах.
fill
Цитата(one_eight_seven @ Oct 25 2011, 19:42) *
Подскажите, как сделать так, чтобы Part Number, Part Name, Part Label и Reference Designator брались те, что я указал при создании Part. В данный момент Reference designator надо указывать в символе (тогда непонятно, зачем эта опция введена в Part Editor'е), А Part Name, label и number приходится вводить по два раза. Один раз в полях, при создании Part, и второй раз - в свойствах.


Если речь о маршруте DxD-iCDB-Exp, то все это как раз берется с PDB, а не символа.
Если о DxD-netlist-Exp, то естественно все значения со схемы - куда они попадают или из символа или из DxDatabook.
one_eight_seven
Цитата
Если речь о маршруте DxD-iCDB-Exp, то все это как раз берется с PDB, а не символа.

Видимо, не совсем корректно задал вопрос. Я хотел узнать, можно ли сделать так, чтобы значения из PDB попали в соответствующие им свойства символа автоматически.
Поиск в библиотеке у меня прекрасно работает именно по значениям PDB, но в схеме они не отображаются, поскольку, если вручную не заполнить свойства (без разницы в Symbol Editor или в Part Editor) эти свойства остаются пустыми в символе.
fill
Цитата(one_eight_seven @ Oct 26 2011, 16:10) *
Видимо, не совсем корректно задал вопрос. Я хотел узнать, можно ли сделать так, чтобы значения из PDB попали в соответствующие им свойства символа автоматически.
Поиск в библиотеке у меня прекрасно работает именно по значениям PDB, но в схеме они не отображаются, поскольку, если вручную не заполнить свойства (без разницы в Symbol Editor или в Part Editor) эти свойства остаются пустыми в символе.


Опять ничего не понятно.
Так где все таки должны появится значения атрибутов, на схеме или внутри NSE?
Если на схеме, то после упаковки\аннотации все атрибуты (у которых стоит соответствующая галочка) обновляют\присваивают свои значения, беря их из PDB. Нажмите для просмотра прикрепленного файла
Volosatyi
Ламерский вопрос по Symbol Editor в DC:

А что если у символа 2 или больше пина с одинаковым названием (например GND)? При попытке сохранить символ программа ругается на Dublicate Pin Name. Как тогда быть? Называть GND1, GND2?
fill
Цитата(Volosatyi @ Oct 27 2011, 21:51) *
Ламерский вопрос по Symbol Editor в DC:

А что если у символа 2 или больше пина с одинаковым названием (например GND)? При попытке сохранить символ программа ругается на Dublicate Pin Name. Как тогда быть? Называть GND1, GND2?


Да
dmitry-tomsk
Можно ли трассировать диф пару по сетке? Хотелось бы центр пары провести по сетке, а получается только центр трассы по сетке
rifch
Здравствуйте.
Хотел поинтересоваться, кто-нибудь использует связку Expedition - CAM350 используя Cross Probe Expedition(меню в CAM350)?
Как можно активировать этот сервис?

ClayMan
Есть нетривиальный вопрос - можно ли каким-то образом конвертировать либу, сделанную в 2007 экспедишне в версию младшего, 2005-го?
fill
Цитата(ClayMan @ Nov 3 2011, 11:15) *
Есть нетривиальный вопрос - можно ли каким-то образом конвертировать либу, сделанную в 2007 экспедишне в версию младшего, 2005-го?


LM2005 спокойно открывает ЦБ сделанную в LM2007

Цитата(dmitry-tomsk @ Oct 28 2011, 09:12) *
Можно ли трассировать диф пару по сетке? Хотелось бы центр пары провести по сетке, а получается только центр трассы по сетке


1. Зачем так извращаться?
2. Сетка для трасс, соответственно трассы по ней и ходят. Поставьте сетку 1\2 расстояния между центрами трасс дифф. пары - получите искомый вариант.

Цитата(rifch @ Oct 30 2011, 12:55) *
Здравствуйте.
Хотел поинтересоваться, кто-нибудь использует связку Expedition - CAM350 используя Cross Probe Expedition(меню в CAM350)?
Как можно активировать этот сервис?


Тыц
dmitry-tomsk
Цитата(fill @ Nov 3 2011, 11:48) *
1. Зачем так извращаться?
2. Сетка для трасс, соответственно трассы по ней и ходят. Поставьте сетку 1\2 расстояния между центрами трасс дифф. пары - получите искомый вариант.
Тыц


По сетке вручную разводить удобнее, если шаг bga 1 мм и надо бы две пары всего завести внутрь bga, вот надо ли париться с констрейнтами когда можно задать сетку в 0.5 и спокойно провести пару за две секунды. Если расстояние между трассами в паре 0.116 мм как же сетку наложить, чтобы по цетру между контактными площадками провести пару?
ClayMan
Цитата(fill @ Nov 3 2011, 10:48) *
LM2005 спокойно открывает ЦБ сделанную в LM2007

Действительно!)) Все гениальное - просто!) Поясните, нет ли каких-нибудь подводных камней, т.е. нет ли опасности, что часть информации потеряется при обратной конвертации из 2007 в 2005?

Цитата(dmitry-tomsk @ Nov 3 2011, 12:07) *
Если расстояние между трассами в паре 0.116 мм как же сетку наложить, чтобы по цетру между контактными площадками провести пару?

Возможно несколько оффтоп, но есть мнение, что две трассы с критичными к имедансу сигналами не стоит проводить между соседними ножками бга 1мм. Но это так, лирика..))
fill
Цитата(ClayMan @ Oct 19 2011, 16:35) *
Все понятно laugh.gif Шаг влево, шаг вправо - считаются побегом) Что ж, будем ждать переведенного варианта.


Перевел
ClayMan
Прекрасно, спасибо!
mw3791
Здравствуйте, Господа!
Возникла проблема при создании топологии по маршруту DxD - ExpeditionPCB (ver.7.9.2).
Создан проект, нарисована схема, создана печатная плата, проведена Forward Annotate, размещены компоненты и СДЕЛАН WIREBONDING для Bare Dies. Разводки проводников пока нет, только bondpads для bare dies.
Вопрос: почему при повторной Forward Annotate удаляются все "непосильным трудом" размещенные bondpads и bondwires? Может их можно как-то залокировать? Через Padstack Properties (правая кнопка мышки на bondpad'е далее Properties) не локируются (The lock status field is only selectable for fiducial, mounting hole or via padstack types. цитата из хелпа). Схема между начальной и последующими Forward Annotate не менялась! Вопросы типа "а нафига тогда два раза аннотировать" не принимаются! Нуна.
Заранее благодарю!
fill
Цитата(mw3791 @ Nov 8 2011, 16:54) *
Здравствуйте, Господа!
Возникла проблема при создании топологии по маршруту DxD - ExpeditionPCB (ver.7.9.2).
Создан проект, нарисована схема, создана печатная плата, проведена Forward Annotate, размещены компоненты и СДЕЛАН WIREBONDING для Bare Dies. Разводки проводников пока нет, только bondpads для bare dies.
Вопрос: почему при повторной Forward Annotate удаляются все "непосильным трудом" размещенные bondpads и bondwires? Может их можно как-то залокировать? Через Padstack Properties (правая кнопка мышки на bondpad'е далее Properties) не локируются (The lock status field is only selectable for fiducial, mounting hole or via padstack types. цитата из хелпа). Схема между начальной и последующими Forward Annotate не менялась! Вопросы типа "а нафига тогда два раза аннотировать" не принимаются! Нуна.
Заранее благодарю!


Setup > Project Integration
опция Remove floating traces & vias включена?
mw3791
Цитата(fill @ Nov 8 2011, 17:39) *
Setup > Project Integration
опция Remove floating traces & vias включена?

Спасибо, Александр!
+10 баллов к Вашей карме!
Я просто не знал, где настраиваются условия аннотаций. Теперь знаю.
f0GgY
как в файл скриптов для кейбинд прописать команды?
к примеру нужно повесить на кнопки команду rs 5
fill
Цитата(f0GgY @ Nov 9 2011, 21:30) *
как в файл скриптов для кейбинд прописать команды?
к примеру нужно повесить на кнопки команду rs 5


Тыц
f0GgY
Цитата(fill @ Nov 9 2011, 21:20) *

эм, sm.gif именно там и смотрел, но был невнимателен к слову BindCommand ( у меня текущие кейбинды через биндменю)
пардон. laughing.gif
всё ок. спасибо.
Volosatyi
Скажите, поддерживается ли в DC отображение имен инвертированных выводов с надчеркиванием? а то у меня как введено "~PinName", так и отображается. Вроде бы где-то видел на картинках, хотя не уверен, что это именно DC... 05.gif
fill
Цитата(Volosatyi @ Nov 15 2011, 10:09) *
Скажите, поддерживается ли в DC отображение имен инвертированных выводов с надчеркиванием? а то у меня как введено "~PinName", так и отображается. Вроде бы где-то видел на картинках, хотя не уверен, что это именно DC... 05.gif


Только фонт DEFAULT может правильно отобразить.
Нажмите для просмотра прикрепленного файла

Также в DC завист где находится ~. Если спереди, то знак появится только над одной последующей буквой\цифрой.
Volosatyi
Изучаю создание иерархических проектов в DC. Так вот, при попытке задать имя иерархического соединителя (Hier Pin Name), начинающегося на знак "+", например +12V, выдает сообщение об ошибке: "Text type Huer Pin Name with value +12V doesn`t match reqular expression of \n\e*". Можно ли найти файл, где эти правила прописаны и сделать возможным знак "+" в начале?
Yuri Potapoff
Не хочу открывать отдельную тему, поэтому спрашиваю здесь.

Имеется ли сейчас в экспедишене русскоязычный интерфейс?
cioma
Насколько я знаю - нет.
Да и не нужен он wink.gif
vitan
Цитата(Volosatyi @ Nov 23 2011, 14:28) *
Изучаю создание иерархических проектов в DC. Так вот, при попытке задать имя иерархического соединителя (Hier Pin Name), начинающегося на знак "+", например +12V, выдает сообщение об ошибке: "Text type Huer Pin Name with value +12V doesn`t match reqular expression of \n\e*". Можно ли найти файл, где эти правила прописаны и сделать возможным знак "+" в начале?

Я в DC полный ноль, но ради интереса могу посоветовать попробовать имя "\+12V" (то, что внутри кавычек).
Asb
Цитата(Volosatyi @ Nov 23 2011, 14:28) *
Изучаю создание иерархических проектов в DC. Так вот, при попытке задать имя иерархического соединителя (Hier Pin Name), начинающегося на знак "+", например +12V, выдает сообщение об ошибке: "Text type Huer Pin Name with value +12V doesn`t match reqular expression of \n\e*". Можно ли найти файл, где эти правила прописаны и сделать возможным знак "+" в начале?

Файл, определяющий формат свойств, это текстовый файл с расширением ".prp". Обычно используется файл CentLib.prp, находящийся в корне директории ЦБ. Какой файл используется в Вашем проекте можно узнать открыв в текстовом редакторе .prj файл и найдя секцию "LIST Text_Properties".
Файл CentLib.prp можно отредактировать либо в ручную, либо с помощью Property defenition editor'а (LM меню Tools). включив режим Advanced.
dmitry-tomsk
Подскажите, пожалуйста, как скруглить диф пару. Для обычных трасс всё нормально, но диф. пару упорно не хочет скруглять, даже галка allow 45 degree не работает как надо.
fill
Цитата(dmitry-tomsk @ Nov 28 2011, 11:23) *
Подскажите, пожалуйста, как скруглить диф пару. Для обычных трасс всё нормально, но диф. пару упорно не хочет скруглять, даже галка allow 45 degree не работает как надо.


Не вижу проблемы видео
dmitry-tomsk
Цитата(fill @ Nov 28 2011, 19:47) *
Не вижу проблемы видео

Ну круто! А почему у меня не получается (toggle curve не активируестся)?
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.