Цитата(Hector @ Jul 30 2015, 13:16)

Доброго времени суток.
Вопрос заключается в следующем, есть переменная типа character необходимо её привести к типу std_logic_vector, для побитового разделения и отправления через 4 битный интерфейс. Как это возможно реализовать?
Не для синтеза ведь?
use ieee.std_logic_arith.all;
...
signal char_signal: character;
signal std_signal: std_logic_vector(7 downto 0);
...
std_signal <=
conv_std_logic_vector(character'pos(char_signal),8);