Есть модуль А, с него выходит сигнал (14 бит), сигнал идет на модуль B, который имеет единственный выход (14 бит), подключенный непосредственно к выводам. Также сигнал идет на модуль C. Выходы модуля С расходятся по другим модулям. Схема полностью синхронна, один домен.
При анализе выдает слаки. Для меня странно это: From Node: reg_B, To Node: reg_C. При этом. связи между регистрами reg_B (находится в модуле

Зачем анализатор это оценивает? Где он нашел этот путь? Естественно, просмотр RTL и Technology Map не дает результата, нет такого пути.
CODE
_______
| MOD B |
_______ +-->| |----> Pin Out (dacM_code_A_o)
| MOD A | | | reg_B |
| |----+ |_______|
| | | _______
| | | | MOD C |
| | +-->| |----> Other Moduls
|_______| | reg_C |
|_______|
И на всякий случай, содержимое sdc:
CODE
set_time_format -unit ns -decimal_places 3
derive_clock_uncertainty
create_clock -period 50MHz -name {clk_50MHz_i} [get_ports {clk_50MHz_i}]
derive_pll_clocks
set clk_125MHz pll_50MHz_inst|altpll_component|auto_generated|pll1|clk[0]
create_generated_clock -name {clk_ext} -invert -source $clk_125MHz [get_ports {dacM_clk_o}]
set_output_delay -clock [get_clocks {clk_ext}] -max 0.2 [get_ports {dacM_code_A_o[*]}]
set_output_delay -clock [get_clocks {clk_ext}] -min -1.5 [get_ports {dacM_code_A_o[*]}]
P.S.: Я понимаю. что пока вопрос рассчитан на телепатов, подскажите, какими сведениями дополнить?