Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: констрейны для входной шины DDR
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
_Anatoliy
Коллеги,что-то я опять запутался. Есть шина DDR 24 бита между двумя FPGA, режим шины - Center-Aligned,Source-Synchronous Interfaces,
тактовая частота 275МГц, чип Arria V.
Проблема с hold. Пользуюсь методом FPGA-Centric Input Delay Constraints, поэтому виртуального клока нет.
Описываю входные задержки:
Код
set clkddr "275mhz"
create_clock -name {clk_ddr} -period $clkddr [get_ports {clk_ddr}]
set tCOmax 1
set tCOmin 0.8

set_input_delay -max $tCOmax -clock [get_clocks {clk_ddr}]             [get_ports {data_ddr_*}] -add_delay
set_input_delay -max $tCOmax -clock [get_clocks {clk_ddr}] -clock_fall [get_ports {data_ddr_*}] -add_delay
set_input_delay -min $tCOmin -clock [get_clocks {clk_ddr}]             [get_ports {data_ddr_*}] -add_delay
set_input_delay -min $tCOmin -clock [get_clocks {clk_ddr}] -clock_fall [get_ports {data_ddr_*}] -add_delay

На картинке видна очень большая задержка по клоку,почти 10нс. При том что период тактовой 3,6нс. Фазой PLL такую задержку не устранишь. Путь от входной ножки клока к регистру DDR :
дифф-пара - IO-IBUF - clkctrl - PLL - регистр. Выход PLL называется clk275. Вроде ничего лишнего. Delay chain уже накрутил почти по максимуму, кстати они автоматом учитываются при анализе?
Что посоветуете,коллеги?
Corner
Пин плэннер выложите. А то непонятно что, где и куда Вы сигналы расположили.
_Anatoliy
Цитата(Corner @ Aug 6 2016, 18:49) *
Пин плэннер выложите. А то непонятно что, где и куда Вы сигналы расположили.

Этого будет достаточно? Если нет - говорите,выложу всё что нужно.
bogaev_roman
Цитата(_Anatoliy @ Aug 6 2016, 15:50) *
На картинке видна очень большая задержка по клоку,почти 10нс. При том что период тактовой 3,6нс. Фазой PLL такую задержку не устранишь.

Была такая проблема и именно по холдам для стратикс4, пришлось вручную регистры отодвигать подальше от пинов.
PS/ Сейчас внимательно посмотрел - у Вас эта задержка от пина до ddr регистра, его и подвинуть нельзя, так что не вариант.
_Anatoliy
Собрал этот кусок в Q9.0 и для Циклона3 - получил вот такую картинку. И как же это понимать?
andrew_b
Цитата(_Anatoliy @ Aug 8 2016, 14:49) *
Собрал этот кусок в Q9.0 и для Циклона3 - получил вот такую картинку. И как же это понимать?

Ну вы изменили сразу и софт, и семейство ПЛИС. Измените что-нибудь одно и сравните.
_Anatoliy
Цитата(andrew_b @ Aug 8 2016, 15:00) *
Ну вы изменили сразу и софт, и семейство ПЛИС. Измените что-нибудь одно и сравните.

Да,есть возможность проверить в разных версиях квартуса для аррии,сделаю.
В этой картинке мне не понятно отрицательное значение задержки клока.Имхо - чушь.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.