Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Timing Constraints
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
AnatolySh
Доброго всем!

Из некоего проекта, где присутствует UFM, вынес его одного наверх и хочу обконстрейнить. Для этого требуется указать частоту, относительно которой плясать.

Как написано уважаемыми авторами в документе TimeQuest для чайников, к коим (чайникам) я себя всецело причисляю, эту частоту (её имя) можно запросить посредством derive_pll_clocks, что, вроде бы, и делается в проекте. Однако, попытка указать оную в качестве опоры для констрейнов (пусть и false_path) приводит к ругающемуся TimeQuest Analizer-у.

Конечная цель - получить в табличке Unconstrained Paths для рассматриваемого проекта все нули.
Просьба направить в правильном направлении.
Stewart Little
Цитата(AnatolySh @ Sep 8 2016, 01:51) *
... эту частоту (её имя) можно запросить посредством derive_pll_clocks, что, вроде бы, и делается в проекте....

Начнем с того, что в MAX II нет PLL'ей от слова "совсем".
Работа с UFM в MAX II тактируется внутренним RC-генератором, его и описывайте/констрейните.
AnatolySh
Цитата(Stewart Little @ Sep 9 2016, 02:01) *
Работа с UFM в MAX II тактируется внутренним RC-генератором, его и описывайте/констрейните.

Спасибо. Решилось так (для начала): derive_pll_clocks в исходном sdc файле дало
Info (332110): Deriving PLL clocks
Info (332110): create_clock -period 181.818 -name {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc} {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc}

откуда было взято для скорректированного sdс

create_clock -period 181.818 -name {ufm_osc} {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc}
и
set_false_path -from [all_inputs] -to [get_clocks {ufm_osc}]
set_false_path -from [get_clocks {ufm_osc}] -to [all_outputs]

Однако появились предупреждения о нарушении



и в виде отчёта - в скрепке. Просьба подсказать, куда рыть дальше?
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.