Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: ModelSim - ошибка "A begin/end block was found with an empty body"
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Языки проектирования на ПЛИС (FPGA)
justontime
Есть "always @posedge", внутри которого есть, в том числе, следующее:

Код
70 if (Bits[2] & Bits[1] & Bits[0])
71                         begin
73                            BUSY = 1'b0;
73                            MRDY = 1'b0;
74                         end;


Quartus вполне нормально это компилирует, но вот ModelSim выдает ошибку:

* Error: C:/TEST.v(74): A begin/end block was found with an empty body. This is permitted in SystemVerilog, but not permitted in Verilog. Please look for any stray semicolons.

Поиск в интернете решить проблему не смог, поэтому обращаюсь к помощи зала... Что это, и как с этим бороться ???
ViKo
; после end уберите
justontime
Цитата(ViKo @ Dec 26 2017, 07:10) *
; после end уберите

Блин, оно же мне нормальным английским языком насчет этого говорило, а я не слушал... Спасибо !
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.