Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: GCD конвейер VHDL
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Языки проектирования на ПЛИС (FPGA)
Zolberg101
Всем привет! Я студент и пытаюсь изучать ПЛИСы.
Имеется следующая задачка для саморазвития:Имеется два входных сигнала A, B (std_loguc_vector(15 downto 0)). Нужно написать конвейер для алгоритма наибольшего общего делителя (количество ячеек в моей ПЛИСине 10.5 к).
Пытался через mod. Работает, но слишком много ресурсов тратит. Через обычный цикл loop так же. Количество итераций слишком много ресурса не хватает. Есть смысл обратить внимание на FSM, так как при минимальных затратах, выполняется алгоритм. Единственное, что надо запараллелить циклы автомата (думаю через Rising_Edge(clk)) подойдёт. Либо через unrolling loop для сдвига регистра, но занимается этим в 2018? sm.gif так что, если у кого будет время и кто будет готов помочь в решении задачки, то буду рад. Спасибо!
des333
Могу помочь -- Вам нужно начать с изучения соответсвующей литературы.
Например, "FPGA Prototyping by VHDL Examples" Pong P. Chu

P.S. Хотя моё личное мнения -- я бы крайне рекомендовал Verilog вместо VHDL. Но это оффтоп.
Zolberg101
Цитата(des333 @ May 26 2018, 00:53) *
Могу помочь -- Вам нужно начать с изучения соответсвующей литературы.
Например, "FPGA Prototyping by VHDL Examples" Pong P. Chu

P.S. Хотя моё личное мнения -- я бы крайне рекомендовал Verilog вместо VHDL. Но это оффтоп.


Благодарю, и изучаю сейчас RTL HARDWARE DESIGN USING VHDL того же автора. Правда не до конца понимаю механику конвейризации конечного автомата бинарного алгоритма (12.8 листинг из данной книги). Если ещё актуально с помощью, то буду благодарен, даже не бесплатно если сложная задача(vk.com/semenishen). Verilog планирую на будущее, ибо надо с более жестких основ пройтись в vhdl sm.gif
кстати, читал ваш блог на хабре, отличные статьи у вас! sm.gif
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.