Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Библиотека и modelsim
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Среды разработки - обсуждаем САПРы
Костян
В проекте используются следуюшие библиотеки (тест ядра picoblaze для spartan3)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;

Webpack 6.1i не выдает ошибок. Запускаю моделирование в ModelsimSE 6.1e , начинается ругань на
** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory. (errno = ENOENT)
# ** Error: E:/Modeltech_6.1e/win32/vcom failed.

В чем причина. Ручное добавления библиотек в папку с modelsim положительных результатов не дает.
Vadim
Цитата(Костян @ Aug 14 2006, 15:04) *
В проекте используются следуюшие библиотеки (тест ядра picoblaze для spartan3)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;

Webpack 6.1i не выдает ошибок. Запускаю моделирование в ModelsimSE 6.1e , начинается ругань на
** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory. (errno = ENOENT)
# ** Error: E:/Modeltech_6.1e/win32/vcom failed.

В чем причина. Ручное добавления библиотек в папку с modelsim положительных результатов не дает.

Сегодня как раз с этим бился - было подозрение, что ActiveHDL глючит, решил проверить моделсимом. Победил так (у меня Modelsim 6.2):
1. В c:\Modeltech_6.2a\vhdl_src\ создал папку unisim и скопировал туда пакеты.
2. Запустил моделсим и создал библиотеку unisim. Путь для нее указал c:\Modeltech_6.2a
3. Откомпилировал файлы из c:\Modeltech_6.2a\vhdl_src\unisim в библиотеку unisim
4. Закрыл моделсим и отредактировал файл c:\Modeltech_6.2a\modelsim.ini (предварительно убрав атрибут "только чтение"), добавив в него строчку unisim = $MODEL_TECH/../unisim (раздел [Library])
Все фунциклирует smile.gif
vitus_strom
compxlib вас спасет
maksya
Цитата(Vadim @ Aug 14 2006, 18:40) *
Победил так (у меня Modelsim 6.2):
1. В c:\Modeltech_6.2a\vhdl_src\ создал папку unisim и скопировал туда пакеты.
2. Запустил моделсим и создал библиотеку unisim. Путь для нее указал c:\Modeltech_6.2a
3. Откомпилировал файлы из c:\Modeltech_6.2a\vhdl_src\unisim в библиотеку unisim
4. Закрыл моделсим и отредактировал файл c:\Modeltech_6.2a\modelsim.ini (предварительно убрав атрибут "только чтение"), добавив в него строчку unisim = $MODEL_TECH/../unisim (раздел [Library])

IMHO, ценным является только третий пункт. Важно наличие откомпилированной библиотеки в рабочем каталоге.
Костян
Благодарю за ответы. Откомпилировал библиотеки -- работает. Кстатит не досмотрел , была уже похожая тема
http://electronix.ru/forum/index.php?showtopic=18777 (пост 11)
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.