Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Обладатели Spartan-3 Starter Kit Board СЮДА!
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
lexus.mephi
Поделитесь опытом, пожалуйста!!! Первое что хочу узнать - это как реализовать вывод на индикацию слева направо. Подскажите как подавать сигналы AN3-AN0? А так пишите сюда все, что вы сделали с этой платой =))
zltigo
Цитата(lexus.mephi @ Nov 5 2006, 10:28) *
... пишите сюда все, что вы сделали с этой платой

"Грузите апельсины бочками!"
Mukhanov
С делал две платы, одна с видео цап ADV7123, вторая с SAA7111 - получилась плата видеозахвата
Raimis
Цитата(lexus.mephi @ Nov 5 2006, 12:28) *
...вывод на индикацию слева направо...

сперва хотел уточнить о котором ките идет речь:
Spartan-3 Starter Kit (DO-SPAR3-DK)
или:
Spartan-3E Starter Kit (HW-SPAR3E-SK-US)
По заголовке - вроде S3, но по вопросу - о S3Е?
lexus.mephi
Цитата(Raimis @ Nov 6 2006, 13:44) *
Цитата(lexus.mephi @ Nov 5 2006, 12:28) *

...вывод на индикацию слева направо...

сперва хотел уточнить о котором ките идет речь:
Spartan-3 Starter Kit (DO-SPAR3-DK)
или:
Spartan-3E Starter Kit (HW-SPAR3E-SK-US)
По заголовке - вроде S3, но по вопросу - о S3Е?


Буквы Е я не нашел =) Я думаю 7-сегментный индикатор есть и там, и там. Так вот каким образом мне поочередно выводить цыфирки на него?
Doka
Цитата(lexus.mephi @ Nov 6 2006, 14:26) *
Буквы Е я не нашел =) Я думаю 7-сегментный индикатор есть и там, и там. Так вот каким образом мне поочередно выводить цыфирки на него?

у Spartan-3E Starter Kit (HW-SPAR3E-SK-US) нету сегментного индикатора. Есть символьный, с программным управлением.
ЗЫЖ а вообще читайте ug130.pdf (Figure 3-2) - он рулез
Raimis
Цитата(lexus.mephi @ Nov 6 2006, 15:26) *
Буквы Е я не нашел =) Я думаю 7-сегментный индикатор есть и там, и там. Так вот каким образом мне поочередно выводить цыфирки на него?

ну растерялся я по тому как на кит S3 и док хороший :
Цитата(Doka @ Nov 6 2006, 19:21) *
ЗЫЖ а вообще читайте ug130.pdf (Figure 3-2) - он рулез

и примеров хватает тут .
Вот вырезка из примера "Digital Clock using Multiplexed 7-Segment Display" с управлением LED индикатора:
Код
entity clock is port (
    clk50in                : in std_logic;             -- 50 Mhz XTAL
    pb_in                : in std_logic_vector(3 downto 0);    -- 4 pushbutton inputs
    sw_in                : in std_logic_vector(7 downto 0);    -- 8 switch inputs
    digit_out            : out std_logic_vector(3 downto 0);    -- digit drivers
    led_out                : out std_logic_vector(7 downto 0);    -- 8 LEDs
    seg_out                : out std_logic_vector(7 downto 0));    -- segment drivers

end clock;

process (clk50, rst)
begin
if rst = '1' then
    seg <= (others => '1');
    digit <= (others => '1');
    cd <= (others => '0');
    curr <= (others => '0');
elsif clk50'event and clk50 = '1' then
    cd(2) <= '1';
    if khertz_en = '1' then
        cd(1 downto 0) <= cd(1 downto 0) + 1;
    end if;
    case cd(1 downto 0) is
        when "00" =>   curr <= bcdint(3 downto 0);   digit <= "1110";
        when "01" =>   curr <= bcdint(7 downto 4);   digit <= "1101";
        when "10" =>   curr <= bcdint(11 downto 8);  digit <= "1011";
        when others => curr <= bcdint(15 downto 12); digit <= "0111";
    end case;
    if cd(2) = '1' then
        case curr is
            when "0000" => seg <= "0000001" & dp;
            when "0001" => seg <= "1001111" & dp;
            when "0010" => seg <= "0010010" & dp;
            when "0011" => seg <= "0000110" & dp;
            when "0100" => seg <= "1001100" & dp;
            when "0101" => seg <= "0100100" & dp;
            when "0110" => seg <= "0100000" & dp;
            when "0111" => seg <= "0001111" & dp;
            when "1000" => seg <= "0000000" & dp;
            when others => seg <= "0000100" & dp;
        end case;
    else
        seg <= (others => '1');
    end if;
end if;
end process;

Как видно из примера в ките реализована динамическая индикация.
А о S3Е я подумал по тому как там во истину буковки ползет по экрану... smile.gif
lexus.mephi
Спасибо огромное!!! Так долго лазил по этому сайту и ниразу не попал на эту закладку. И за VHDL спасибо ))
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.