Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Редакторы HDL
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Среды разработки - обсуждаем САПРы
Страницы: 1, 2, 3, 4, 5
Victor®
Цитата(glock17 @ Apr 29 2009, 10:10) *
Спасибо, оперативно.

У меня еще вопрос: можно поинтересоваться содержимым файла syntax_check.scr? А то в запарке читать XST User Guide пока нет времени, а попробовать хочется (хочу заставить UEStudio сделать то же самое).


syntax_check.scr

elaborate
-ifn syntax_check.prj


syntax_check.prj

# Place actual VHDL design file(s)
vhdl work "addr_cnt.vhd"


Если в проекте несколько файлов, то они добавляются в PRJ так:
vhdl work "you_file_name_1.vhd"
vhdl work "you_file_name_2.vhd"
vhdl work "you_file_name_N.vhd"

Я более простого пути не нашел (переписывался с Xilinx support, они предложили TCL,
но скрипты надо запускать все равно с ISE)

Я просто хотел сделать проверку синтаксиса, без запуска ISE
Кстати, таким образом можно и полностью синтезировать проект (с помощью xst).
Описано все это в "XST User Guide" -> "XST Command Line Mode"

-- Успехов
Vadim
Цитата(yes @ Mar 23 2009, 15:00) *
прикольно, что про emacs/xemacs с verilog-mode (verilog.com) никто не написал.

Я напишу smile.gif Пересаживаюсь на emacs. Не могу никак разобраться с verilog-mode. Он живет своей жизнью, умничает, и не дает работать. Нажимаю tab - игнорирует, enter - форматирует кусок кода и т.д. Я весь FAQ перерыл, сделал в ~/.emacs все, что там советовали по этому поводу. Не помогло.
Как заставить его помогать мне, только когда я попрошу (нажму соответствующее сочетание клавиш), а не постоянно?
help.gif
ЗЫ Пробовал vlog-mode, вроде получше, умничает меньше, но не понимает SV. Толком не разобрался с ним, т.к. наступило утро.
CaPpuCcino
5 дней назад обновил SciTe до 1.78.64Ru (май 2009) под WinXP. сегодня поймал первый глюк. по визуальным ощущениям программа залезла в видеобуфер не своего окна (не знаю возможно ли такое в защищённом режиме), но зависания не призошло. при выходе данные редактируемых файлов потеряны не были - сработало автоматическое сохранение по закрытию.
из замеченых косяков - пропала возможность закрытия отдельного редактируемого документа на вкладке документа и через "крестик" в углу окна представления. закрытие возможно через контекстное меню в поле представления документа или через главное меню (ассоциированая горячая клавиша работает)
будем посмотреть дальше.
Vadim
Еще один представитель славного семейства hdl-редакторов - Scriptum:
http://www.hdlworks.com/products/scriptum/index.html
Входит в состав какой-то невнятной и платной среды проектирования.
Бесплатен, есть под windows и linux.
Первое впечатление - категорически не понравилась "плата за бесплатность" - какой-то неубирающийся наглый рекламный мультик.
CaPpuCcino
Цитата(Vadim @ Feb 8 2009, 19:21) *
С нетерпением ждем появления SlickEdit 2009 smile.gif

здесь говорят, что SlickEdit 2009 здорово продвинулся с SV http://svug.org/Forum/tabid/57/forumid/33/...ic/Default.aspx
особенно приятно, что они быстрые переходы к объявлениям реализовали. есть кто-нибудь попробовавший? впечатления?
Vadim
По SV впечатления самые положительные. По сравнению с 2008 - небо и земля. Есть косяки, Денис об этом уже говорил, но я их не ощущал, не настолько крут smile.gif
Однако макросы, написанные мной и прекрасно работавшие в 2008, в 2009 работают неправильно. Следствие показало, что причина в разном результате выполнения Slick-C функций в этих двух версиях. А это уже не смешно. Совершенно не улыбается, подсев на некую прогу(даже такую замечательную, как Slick), в один прекрасный день обнаружить вместо удобной и отлаженной под свои нужды среды разработки разбитое корыто. Окончательно взбесил Slick под линукс - там вообще нечто невнятное, постоянные падения и зависоны. Но что интересно, макросы там работают правильно.
Перехожу на emacs.
CaPpuCcino
Цитата(Vadim @ Aug 5 2009, 13:47) *
Есть косяки, Денис об этом уже говорил, но я их не ощущал, не настолько крут smile.gif

упс, сорри, не заметил - в отпуске в это время был.
в остальном спб за описание
dxp
Цитата(CaPpuCcino @ Aug 5 2009, 17:39) *
здесь говорят, что SlickEdit 2009 здорово продвинулся с SV http://svug.org/Forum/tabid/57/forumid/33/...ic/Default.aspx
особенно приятно, что они быстрые переходы к объявлениям реализовали. есть кто-нибудь попробовавший? впечатления?

Да, переходы к объявлениям работают, что есть очень хорошо. И прочий Context Tagging - например, после имени структуры набираешь ".", появляется всплывающее окошко с именами членов структуры. В общем, все как в том же С++, где все это давно работает. Интерфейсы, правда, не понимает в этом смысле. Работать стало комфортнее. И раскраску синтаксиса сделали - как новогодняя елка (но это можно отключить). smile.gif

Цитата(Vadim @ Aug 5 2009, 17:47) *
Однако макросы, написанные мной и прекрасно работавшие в 2008, в 2009 работают неправильно. Следствие показало, что причина в разном результате выполнения Slick-C функций в этих двух версиях. А это уже не смешно. Совершенно не улыбается, подсев на некую прогу(даже такую замечательную, как Slick), в один прекрасный день обнаружить вместо удобной и отлаженной под свои нужды среды разработки разбитое корыто.

Хм, я такого не обнаружил. Моих пара скромных макросов работает без замечаний.
ArMouReR
Цитата(RHnd @ Jul 15 2007, 22:23) *
А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.
Кстати, поставил сейчас ConTEXT - а что там за функции пользователя (F9-F12)?
И вопрос по Notepad++ - можно ли там комментирование блока на хоткей поставить?

TextPad хорошо с этим справляется + у него есть подсветки для всех возможный языков...

Очень давно им пользуюсь в том числе и для Verilogа....

А еще есть такая класная штука Verilog-mode http://www.verilog.com/verilog-mode.html

В принципе это для емакса... но емакс я не перевариваю, по этому пользуюсь этой штукой из коммандной строки.

Очень удобно. Если кому интересно могу подкинуть готовый скрипт для линукса.

С этой штукой интеграция топ левел делается за 5 мин. Очень советую попробовать.
Обычно тот кто один раз подсел больше слезть не может rolleyes.gif
Очень сильно время экономит....
Кнкн
Цитата(ArMouReR @ Aug 5 2009, 19:39) *
В принципе это для емакса... но емакс я не перевариваю, по этому пользуюсь этой штукой из коммандной строки.

Очень удобно. Если кому интересно могу подкинуть готовый скрипт для линукса.


Подкиньте, пожалуйста.
des00
Цитата(dxp @ Aug 5 2009, 06:54) *
Работать стало комфортнее.


да работать намного удобнее, но есть еще куда работать. Теги иногда слетают, порты модулей при инстансе берутся от других модулей, не всегда парсятся сигналы и порты, нет beautify и т.д. Но по сравнению с 2008 сликом прогресс существенно пошел вперед smile.gif
ArMouReR
Цитата(Кнкн @ Aug 6 2009, 09:04) *
Подкиньте, пожалуйста.


Подкидываю biggrin.gif

В файле небольшой скрипт написанный на Bash (Linux). (Расширение txt надо убрать и сделать executable)

В качестве параметров ему надо дать либо имя файла либо имя директории.

Ну естесвенно нужно установить verilog-mode как рассказано на сайте.

У меня он стоит в /shared_01/home/michael... Вам нужно будет поменять ето на свой путь...

Будут вопросы - спрашивайте....
Vadim
ArMouReR, завидую, что Вам удалось подружиться с verilog-mode. В отлчие от Вас мне нравится emacs и по мере его изучения все больше и больше, а вот в verilog-mode так и не въехал. Какой-то он сам себе на уме, самостоятельно какие-то выравнивания непонятные делает. Попытки настроить его под свои предпочтения результатов пока не дали. Но я не отчаиваюсь, на днях предприму третью решительную попытку smile.gif
Кнкн
Цитата(ArMouReR @ Aug 6 2009, 13:07) *
Подкидываю biggrin.gif

Будут вопросы - спрашивайте....


Спасибо!
ArMouReR
Vadim,
А вы не заморачивайтесь с его выравниванием и т.д.
Отключите все ети опции в Emacs... Просто используйте его AUTOS....
Vadim
Цитата(ArMouReR @ Aug 6 2009, 16:16) *
Отключите все ети опции в Emacs...

Вот-вот, все, что нашел, отключил. Все равно умничает crying.gif
Intekus
Доработал настройки CaPpuCcino для SV под SciTE.
+:
Для SV поддерживается раскраска, отступы, все ключевые слова.
Прописаны команды на симуляцию (нужные bat-ники с вызовами vlog / vsim / что нужно, предлагается писать под себя самостоятельно).
Полный список изменений - в readme.txt
-:
ModelSim выводит ошибки в формате, не поддерживаемом SciTE - на дабл-клик не реагирует. Решения - либо переформатировать вывод, либо подкручивать скрипты (а может, и ядро SciTE).
Файл аббревиатур, как и скрипты симуляции, активно дорабатываю в процессе.
P. S. Посмотрел ещё Слик (SlickEdit) и Editra - подтверждаю сказанное про них в этой ветке выше. У Editra - сообщества, особенно русскоязычного, вокруг неё пока почти нет. Vi / Emacs пока не пробовал smile.gif
Итого ИМХО - если нужен альтернативный редактор SV с обязательной лицензионностью (и на него нет $639), или просто привычен unix-way с его клавиатурностью и тотально текстовыми настройками - SciTE; иначе - SlickEdit. На Editr'у пока буду смотреть - кстати, она кроссплатформенная, как и оба вышеупомянутых.
CaPpuCcino
ну, ловите тогда и от меня небольшой подгон для SciTe:
наконец-то нашёл как настроить кнопки "удобного" поиска (функция быстрого перехода на следующее и предыдущее вхождение выделенного слова почему-то не включена ни в один релиз и особо не афишируется). именно её я и добавил в панель toolbar редактора + подсветка всех вхождений выделеного слова и отмена подсветки.
итак кнопки поиска сгруппированы след. образом //вызов диалога поиска/переход на следующее вхождение выделеного/переход на предыдущее вхождение выделеного/диалог замены//подсветить все вхождения выделенного/отменить все подсветки выделенного//
настройки панели инструментов находятся в подкаталоге ../toolbar/ (при этом в разделе ToolBar файла SciTeGlobal.properties объект user.toolbar.* можно просто удалить, т.к. пользовательский toolbar будет импортироваться из "import home\toolbar_cool.properties")
файл для примера приведён ниже

Цитата(Intekus @ Oct 27 2009, 12:27) *
ModelSim выводит ошибки в формате, не поддерживаемом SciTE - на дабл-клик не реагирует. Решения - либо переформатировать вывод, либо подкручивать скрипты (а может, и ядро SciTE).

а вы не могли бы сказать что у вас в файле D:\p\dev\Ver3\srcs\Sim_Cmds\sim_gen.cmd нарисовано?
CaPpuCcino
Цитата(CaPpuCcino @ Nov 21 2008, 19:39) *
было большое желание индексировать все переменные и процедуры, но после 3 часов разбора полётов оказалось, что стандартная компоновка встроенного интерпретатора Lua не позволяет работать со сколько-нибудь сложными регулярными выражениями и что-нибудь действительно полезного в этом направлении с таким инструментарием добиться трудно

сейчас обнаружил одну очень важную вещь в отношении этого пункта: (не знаю с какого релиза, но) к SciTe прикрутили, реализацию PEG(Parsing expression grammar) на Lua (lpeg), а это очень серьёзная вещь и все претензии в отношении регулярных выражений теперь к языку Lua снимаются (попробую в ближайшее время покурить заново индексирование переменных)
Intekus
Цитата(CaPpuCcino @ Oct 28 2009, 12:42) *
подсветить все вхождения выделенного/отменить все подсветки выделенного//

Кнопка "Highhight identical text" не работает, похоже, соответствующего скрипта в текущей версии уже вообще нет. Кстати, а какая у Вас версия?
Цитата(CaPpuCcino @ Oct 28 2009, 12:42) *
файл для примера приведён ниже

Не заработало в используемой, самой новой из стабильных на текущий момент, версии 1.79.66.
Исправил путь к библиотеке, поменял пару пиктограмм. Видимо, так как команды эти по умолчанию не используются, в dll с новыми значками их решили не рисовать вообще, и на панели были пустые места. Ещё раскоментировал глобальные настройки, файл аббревиатур и добавление в "избранное" - кому не надо, можно вернуть обратно.
Цитата(CaPpuCcino @ Oct 28 2009, 12:42) *
а вы не могли бы сказать что у вас в файле D:\p\dev\Ver3\srcs\Sim_Cmds\sim_gen.cmd нарисовано?

Там строки поиска корня текущего проекта. Предполагается, что редактируемый файл лежит в одном из его подкаталогов:
Код
@ECHO off
REM Traversing up to 5 dirs up to find project dir (=containing any *.*ise files):
FOR /L %%i IN (1,1,5) DO (CD ".." & (IF EXIST *.*ise GOTO found))
ECHO Project dir (containing *.*ise) not found on 5 dirs up from current!
GOTO exit
:found
CALL .\user\test\cmd\sim.cmd %1 %2 %3
:exit

А в sim.cmd уже скрипт конкретно для него. Сразу предвижу вопрос "а что там?" smile.gif Там вызовы vlib, vlog и vsim в консольном режиме. В настоящий момент файл активно дорабатывается, содержимое часто меняется (даже формат вызова уже другой, не как в verilog.properties из выложенного мной 27 октября). Если интересно - отпишусь (только тогда в теме про custom-do-файлы в этом же подфоруме), как оно утрясётся.
CaPpuCcino
Цитата(Intekus @ Oct 30 2009, 14:37) *
Не заработало в используемой, самой новой из стабильных на текущий момент, версии 1.79.66.

ага, возможно именно поэтому и не работает - у меня сейчас 2.0.68RU (на сайте она уже заменена на 2.1.68 BETA), но можете ставить стокойно - релиз под индексом 2 работает намного устойчивее предыдущей версии - завалить ещё не удавалось, в то время как 1.7х у меня периодически падал при замене по в нескольких файлах

Цитата(Intekus @ Oct 30 2009, 14:37) *
Если интересно - отпишусь (только тогда в теме про custom-do-файлы в этом же подфоруме), как оно утрясётся.

интересно. бу ждать.
cyclop
Цитата(cyclop @ Jun 5 2008, 19:26) *
Для notepad++ создал verilog.api. Ключевые слова - из стандарта Verilog 2001. ...

В новых версиях Notepad++ для работы функции автозавершения вместо файла verilog.api нужно будет использовать verilog.xml, который почему-то не подкладывают в отличие от VHDL-кого. Пришлось перебивать.
des00
пока проект был ~200 файлов все было нормально, но сейчас около ~400 файлови и слик 14.0.2.2 периодически валиться (в среднем раза 4-8 в день) на SV проекте. %( Валиться скорее всего при поиске тегов. Это только мне так "повезло" или действительно сей эффект имеет место быть ?
CaPpuCcino
Цитата(CaPpuCcino @ Oct 28 2009, 15:30) *
сейчас обнаружил одну очень важную вещь в отношении этого пункта: (не знаю с какого релиза, но) к SciTe прикрутили, реализацию PEG(Parsing expression grammar) на Lua (lpeg), а это очень серьёзная вещь и все претензии в отношении регулярных выражений теперь к языку Lua снимаются (попробую в ближайшее время покурить заново индексирование переменных)

ну, что же, дорогие мои, как и обещал прикрутил индексацию объявлений переменных и объявлений функций/задач для SystemVeriloga к текстовому редактору SciTe (версия пока пробная - чисто для фидбака со стороны писателей на SV).
что хотелось:
хотелось иметь удобство навигации по коду как у хороших сред разработки - для дезайнера имеющего большой код часто требуется моментально перейти к объявлению переменной или функции, чтобы уточнить какого данная переменная типа или что за заголовок/тело процедуры (при этом если воспользоваться просто поиском по коду, то прежде чем добраться до объявления обязательно наткнёшься на пару инстансов, функция подсветки аналогичного текста, хоть и удобнее, но также обходной способ). для этого в средах разработки часто где-нить с боку есть список всех переменных, по щелчку на элементе которого переходишь к объявлению. вот это бы собственно и хотелось от бесплатного редактора.
что получили:
я дополнил и доработал Scite мульку под названием SideBar (предворительный вариант). написал грамматику для SV (правила декларации переменных и процедур в самых извращённых их формах за исключением неявных типов для var и аргументов и портов - это доделаю в ближ будущем), разбил боковую панель на 2 соответствующих списка. добавил функцию подсветки элемента в списках по двойному щелчку по переменной в тексте.
что можно делать:
двойной щелчёк по боковым спискам даёт переход к объявелению; двойной щелчёк по инстансу переменной в поле редактора с удерживаемым шифтом - аналогичный переход, control +" ," - обратный переход к месту прыжка; двойной щелчёк по инстансу в поле редактора приводит к тому, что, если существует объявление данной переменной/функции, то в списке оно будет подсвечено (есть одна досадная вещь - подсветка будет видна только после нажатие на заголовок окна списка - это не баг, это фича, т.е. неполная функциональность - думаю её скоро расширят)
недостатки:
к сожалению SideBar построена с использованием gui.dll с очень спартанской функциональностью (так например сделать данный список деревом не представляется возможности, что не добавляет эстетики, ожидаю, что в ближайших релизах функциональность этой библиотеки бу расширена)

это пробный релиз - в ближайшем будущем добавлю декларацию портов, параметров и аргументов процедур, объявления пользовательских типов.
мне бы хотелось услышать ваши пожелания по удобству использования. например интересно стоит ли делать поиск деклараций только в текущем файле или организовывать что-то типа проекта; приятно ли будет видеть динамический список переменных в зависимости от области видимости переменных (по позиции курсора в коде на поле редактора) или достаточно области видимости файла, а вот уже объявления пользовательских типов и процедур делать глобально на проект и т.д.
в общем-то хотелось бы услышать ваши идеи и пожелания
ЗЫ предвкушая доп вопросы, спешу сообщить, что в планах также есть интеграция с МоделСимом/КвестаСимом
CaPpuCcino
Цитата(CaPpuCcino @ Nov 18 2009, 05:49) *
это пробный релиз - в ближайшем будущем добавлю декларацию портов, параметров и аргументов процедур, объявления пользовательских типов.

1)закончил грамматику деклараций(объявлений) переменных (включая параметры, формальные аргуметы функций/задач, портов модулей)
ограничения:
- не поддерживаются иерархические типы в объявлениях ( например: interface.modport_type my_interface) пока (докрутить достаточно просто)
- порты и формальные аргументы не разрешается объявлять как переменные типов по умолчанию, т.е. module a(input input_a); так делать запрещено (нужно так: module a(input bit input_a); ), хотя направление портов по умолчанию разрешено (мера отчасти вынужденная, ограничением длинны шаблона в реализации lpeg для scite, но я это вынужденное ограниченое только приветствую, т.к. это дисциплинирует)
-к сожалению пришлось так же поступить с шапочными объявлениями параметров - придётся задавать тип int явно #( parameter int my_param = 3 ); (ЗЫ: слово "parameter" в шапке естественно необязательно)
однако декларации вне шапок с удовольслвием скушают неявный тип
ЗЫ: внутренние переменные цикла for фильтровать не стал - очень много с этим мороки - избавится от лицезрения их можно (как это нормальным образом и происходит) не отделяя объявление типа от скобки: for (int i=0; i<8;i++) (ЗЫ: в принципе кому на них смотреть нравится- могу рассказать как включить, чтобы они отображались всегда)
2)полностью(по крайней мере мне так кажется) поддерживаются декларации пользовательских типов (т.е typedef-ы)
оформление: теперь панель разбита на 3 секции
-Variables (все переменные, т.е. и порты с параметрами тоже)
-Procedures(functions/tasks)
-Typedefs
область видимости - файл

думаю в дальнейшем буду двигаться в сторону разбора области видимости проект с группировкой объявлений по иерархии проекта
оформлят буду в виде псевдографического дерева, пока не появится нормальный класс tree в gui.dll
ЗЗЫ: сведения о багах очень даже приветствуются (если кому интересно, то peg грамматика СВ идёт с 838 - 987 строки файла)
CaPpuCcino
Цитата(CaPpuCcino @ Nov 21 2009, 03:07) *
- не поддерживаются иерархические типы в объявлениях ( например: interface.modport_type my_interface) пока (докрутить достаточно просто)

извините, что так часто обновляю.
в общем эта фича ушла в прошлое. файл заново не буду прикреплять пока не накопятся принципиальные подвижки. для поддержки "точечных" типов и "пакетных" типов аля my_interface.my_modport и my_package::package_type_t вместо строки №887
Код
  local user_defined_type_identifier = IDENTIFIER - (key_words*(SC+dimension_operator+concatination_operator+balanced_parantheses))

нужно прописать
Код
  local TYPEIDENTIFIER = IDENTIFIER*(DSoN*(P'.'+P'::')*DSoN*IDENTIFIER)^-1
  local user_defined_type_identifier = TYPEIDENTIFIER - (key_words*(SC+dimension_operator+concatination_operator+balanced_parantheses))

т.е. идентификатор типа выделен в отдельный шаблон с расширенными полномочиями
CaPpuCcino
Цитата(CaPpuCcino @ Nov 18 2009, 05:49) *
ЗЫ предвкушая доп вопросы, спешу сообщить, что в планах также есть интеграция с МоделСимом/КвестаСимом

по вопросу интеграции (на примере SV):
консоль SciTe интерактивная и позволяет вам работать как если бы вы работали в коммандной строке системы или строке МоделСима/Квестасима (конечно если у вас прописаны пути переменных окружения). т.е. прямо в консоли Scite вы сможите написать vlib work и будет вам счастье(создастся в той же директорие что и лежит открытый вами в редакторе scite исходник, т.е. следующим действием вы можете исполнить комманду комписяции, т.о. подготовительная работа я думаю справедливо и нехлопотно ляжет на плечи кодера)
а вот компиляция может быть уже выполнена одной кнопкой висящей в шапке. для этого в файле свойств вашего языка(systemverilog.properties) необходимо прописать следующую комманду
Код
vlog=vlog -novopt -sv
command.compile.$(file.patterns.verilog)=$(vlog) $(FileNameExt)

как видно никаких сложных действий пока не потребовалось. при щелчке по кнопке компилировать запустится команда vlog -novopt -sv имя_открытого_файла вывод, которой направится в консоль Scite
при желании более умной компиляции (ну например включения путей для поиска include файлов) через Меню->Настройки->Открыть файл настроек каталога можно быстро внести изменения настроек для конкретного проекта (сам файл хранится в папке редактируемого файла и его настройки имеют более высокий приоритет относительно настроек языка)
теперь нам потребуется настроить интерактивное взаимодействие между редактором и консолью, т.е. получить удобную работу над ошибками.
для этого во всё том же файле свойств языка необходимо прописать следующую комманду:
Код
#extension specific lua scripts
extension.$(file.patterns.verilog)=$(SciteDefaultHome)\tools\ModelSim_Int.lua

которая будет запускать ассоциированный с данным языком обработчик событий консоли ModelSim_Int.lua, который нужно сохранить в папку tools
данный обработчик отслеживает обинарный щелчёк мыши в консоли. если щелчёк был произведён на строкой содержащей ошибку компиляции, предупреждение, фатальную ошибку, строка содержащая ошибку будет отмечена красной стрелкой. повторный щелчёк на той же строке уберёт данную метку. щелчёк вне строки содержащей хоть какое-нибудь предупрежрение снимает все установленные метки соответствующие сообщениям компилятора. метки также снимаются и в окне редактора как если бы это были закладки(bookmarks).
продолжение следует...
CaPpuCcino
Цитата(CaPpuCcino @ Nov 23 2009, 07:26) *
ModelSim_Int.lua

упс, немножко накосячил в обработчике. функция должна возвращать
return result вместо return true
иначе неправильно срабатывает обработчик "по умолчанию"
CaPpuCcino
в связи с получением некоторого количества отзывов по инструментам SciTe, и для того чтобы не загромождать данную глобальную ветку обсуждением расширений SciTe, выношу таковые обсуждения в отдельную ветку http://electronix.ru/forum/index.php?showtopic=70446
flipflop
Случайно наткнулся на интересный плагин к eclips'у.
http://sourceforge.net/apps/mediawiki/vedi...?title=Features

Думаю многих он устроит как замена dvt for eclipse.
RobFPGA
Приветствую!

Требуется помощь/совет. Вдруг ни с того ни с сего умер SlickEdit (местный v14.02). Причем симптомы ужасные - запускается нормально, файлы открывает но в окне редактора невозможно ничего делать - такое впечатление что что то коверкает все коды которые идут с клавиатуры и мыши. Например управление курсором отсутствует напроч, жмеш "Q" - получаешь "ч" (причем если Q вводить в другом окне то получишь совсем другой символ но тоже не "Q:). Кнопки на тулбаре не работают, а меню работает правильно и с мышей и даже с горячими кнопками. Думал что что то с конфигом случилось - снес целиком Slick, поставил все по новой со стандартными настройками - та же ситуация. Ни в одной другой программе на компе такого не наблюдается 8-(). На втором компе тот же Slick работает без проблем.

Вобщем не сталкивался ли кто с чем то подобным?

Удачи! Rob.
Evil Archer
Неплохой бесплатный плагин к эклипсу для работы с SystemVerilog.
http://sveditor.sourceforge.net/
flipflop
Последнее время перешел c Eclipse + DVT на emacs + verilog-mode + autocomplete + пару настроек под себя. Получается очень мощно, советую всем у кого монитор >= 22' и есть время разобраться в кастомизации emacs'a.
Yra
пользуюсь medit - легкий кроссплатформенный текстовый редактор с подсветкой синтаксиса всего подряд. Плюс еще работа в разных кодировках.
dmitry-tomsk
Подскажите, как в notepad++ сделать вставку компонента vhdl. Имеем объявление ENTITY или COMPONENT. В PORT перечислены входы-выходы в виде xxx : IN std_logic_vector(7 DOWNTO 0); (например), надо автоматом заменить на xxx => xxx, Пользуюсь WebEdit плагином, он такого не позволяет.
Ещё можно как нибудь сортировать строки по длине и выравнивать текст в столбце по левому краю?
BlackOps
vim
Vengin
Наткнулся недавно на такую IDE-шку Sigasi HDT. Построена на базе eclipse. Пока поддерживается только VHDL. Скачал триалку, поигрался - вроде ничего, удобненько. Навигация, редактирование, интеграция с Xilinx ISIM, Modelsim; CVS/SVN. Посмотрим во что это вырастет.
SergeyL
QUOTE (Vengin @ Feb 2 2011, 12:19) *
Наткнулся недавно на такую IDE-шку Sigasi HDT. Построена на базе eclipse. Пока поддерживается только VHDL. Скачал триалку, поигрался - вроде ничего, удобненько. Навигация, редактирование, интеграция с Xilinx ISIM, Modelsim; CVS/SVN. Посмотрим во что это вырастет.


Посмотрел, действительно хорошая штука. Спасибо что навели, жалко только что не бесплатная :-)
VG2903
Написал подсветку синтаксиса под AHDL для Notepad++ , если кому надо - берите
AndrewS6
Цитата(RobFPGA @ Apr 9 2010, 21:09) *
Приветствую!

Требуется помощь/совет. Вдруг ни с того ни с сего умер SlickEdit (местный v14.02). Причем симптомы ужасные - запускается нормально, файлы открывает но в окне редактора невозможно ничего делать - такое впечатление что что то коверкает все коды которые идут с клавиатуры и мыши. Например управление курсором отсутствует напроч, жмеш "Q" - получаешь "ч" (причем если Q вводить в другом окне то получишь совсем другой символ но тоже не "Q:). Кнопки на тулбаре не работают, а меню работает правильно и с мышей и даже с горячими кнопками. Думал что что то с конфигом случилось - снес целиком Slick, поставил все по новой со стандартными настройками - та же ситуация. Ни в одной другой программе на компе такого не наблюдается 8-(). На втором компе тот же Slick работает без проблем.

Вобщем не сталкивался ли кто с чем то подобным?

Удачи! Rob.

Такая же фигня с 15.0.1, около месяца жил нормально, сегодня с утра заартачился. Поиском по официальному форуму нашелся только этот топик, но дельных советов в нем не прозвучало. Удалось ли вам решить проблему?
kaktus
Нет ли у кого подсветки синтаксиса для Xilinx UCF под Notepad++?
masics
кто-нибудь пробовал DVT(http://www.dvteclipse.com/)? Как он?
zomg
Цитата(kaktus @ May 11 2011, 10:44) *
Нет ли у кого подсветки синтаксиса для Xilinx UCF под Notepad++?

Попробуй в Notepad++ зайти в меню Опции\Определение стилей, в панели Язык выбрать Ruby
и в поле "Новое расширение" вписать ucf. Редактировать файл с констреинтами станет даже приятно.
glock17
А кто-нибудь из SlickEdit-юзеров создавал подсветку синтаксиса для скриптовых языков Quartus и ISE? Если да, то поделитесь, пожалуйста.
dxp
Цитата(glock17 @ Jun 9 2011, 09:52) *
А кто-нибудь из SlickEdit-юзеров создавал подсветку синтаксиса для скриптовых языков Quartus и ISE? Если да, то поделитесь, пожалуйста.

А эти языки являют собой что-то новое? Это разве не тот же самый банальный tcl?
des00
Цитата(dxp @ Jun 9 2011, 00:10) *
А эти языки являют собой что-то новое? Это разве не тот же самый банальный tcl?

UCF нет %) а жаль
glock17
Цитата(dxp @ Jun 9 2011, 15:10) *
А эти языки являют собой что-то новое? Это разве не тот же самый банальный tcl?


я имел ввиду подсветку команд из, например, tcl-packages квартуса

PS. В принципе, UCF у меня в слике прописан, хотелось просто сравнить. А вот для квартуса ищу готовую, поскольку самому забивать такое количество команд немножко лениво.
Nix_86
А как вам редактор sublime text 2?
Мне понравился, думаю перейти на него с Notepad++, один минус-не свободный, но это формальность.
svedach
Подскажите, как в SlickEdit сделать что бы табуляция выравнивала по вертикали, как в ISE..
Т.е. получаю:
Код
reg   [1:0]     Reg1;
reg   [10:0]     Reg2;

А нужно
Код
reg   [1:0]    Reg1;
reg   [10:0]   Reg2;

Спасибо.
andrew_b
Цитата(svedach @ Apr 4 2012, 09:56) *
Подскажите, как в SlickEdit сделать что бы табуляция выравнивала по вертикали, как в ISE..
Т.е. получаю:
reg [1:0] Reg1;
reg [10:0] Reg2;
А нужно
reg [1:0] Reg1;
reg [10:0] Reg2;
Спасибо.


Не видно разницы, если не использованы теги code.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.