Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Редакторы HDL
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Среды разработки - обсуждаем САПРы
Страницы: 1, 2, 3, 4, 5
zorromen
Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?
Doka
ну а что такое "писать" ?

"просто писать" можно и в текстовом редакторе
"работать с проектом" - в соответствующей САПР (или из командной строки, используя makefile)


PS: кстати, насчет редакторов - для многих из них есть писанные энтузиастами файлы подсветки верилог-синтаксиса (даже для Eclipse, хотя это уже больше IDE) или сами редакторы, заточенные под HDL (HDLTurboWriter)
Adlex
В квартусе делаетcz File-New-HDL Verilog Fileпосле его написания добавляете в проект (или создаете его) и возможна верификация синтаксиса (из меню Processing - Analyze Current File) или сразу кнопкой панели инструментов. А удобно или нет - это индивидуально.
Это если я правильно понял вопрос
vetal
Очень интересный и хороший текстовый редактор Programmers Notepad 2
http://www.pnotepad.org
Вне IDE работаю с ним.
makc
(g)vim - http://www.vim.org/

Мощный и легко расширяемый редактор. Но довольно специфичный. wink.gif
std-logic
IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...
Uuftc
Цитата(std-logic @ Mar 26 2007, 07:35) *
IMHO, лучше ActiveHDL-а от ALDEC (www.aldec.com) пока ничего не видел. И симулятор там очень хороший...

Присоединяюсь - очень грамотная штука
iosifk
Цитата(Doka @ Mar 24 2007, 16:54) *
"просто писать" можно и в текстовом редакторе


Добавляю - я пишу в редакторе EditPlus2 - он очень компактный и может делать подсветку синтаксиса для многих языков, можно даже и для самодельных ассемблеров... Есть вставки темплейтов и автоокончание.
Удачи!
SM
Цитата(zorromen @ Mar 24 2007, 14:38) *
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Не наблюдаю, чего там не хватает. Пишу все с нуля в квартусе, неудобств редактора не вижу. И не пользуюсь никакими внешними инструментами, кроме симулятора, и то только в "тяжелых случаях". Про встроенный симулятор согласен, штука неудобная для более менее сложных проектов, так как не поддерживает тестбенчи, а только вручную нарисованные сигналы.
Victor®
Цитата(zorromen @ Mar 24 2007, 13:38) *
Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Context
www.context.cx
Есть все что надо, подсветка синтаксиса, автозаполение, хелп можно пристегнуть, например на VHDL...
ну и бесплатный
zorromen
А как сделать силумуляцию в ActiveHDL проекта из кваркуса?
SM
Цитата(zorromen @ Mar 27 2007, 15:52) *
А как сделать силумуляцию в ActiveHDL проекта из кваркуса?


В модельсиме (а он поддерживается разработчиками квартуса) вот так. И зачем Вам всякие левые пакеты. http://www.altera.com/support/software/pro...d-modelsim.html
glock17
Цитата(zorromen @ Mar 24 2007, 19:38) *
Привет всем ... Тока скачал кваркус 6.0 ... запустил ... и чета ваще немогу понять ... в нем не очень удобно пиать ... в смысле если писать на верилоге ... то неудобна симуляция и ваще как я понял эта штука нужна уже в конце когда усе готово и тока осталось засунуть усе в микросхему ...
Так че? пишем в других прогах а потом уже в кваркус? ... Или я всетаки чтото проглядел и в кваркусе всетаки можно писать с нуля проэкт?


Пользуюсь UltraEdit Studio. У него есть очень удобная фича сборки исходников в один проект плюс легкое подключение трансляторов, симуляторов и прочих сопутствующих инструментов.
MobyDick
Для VHDL и Verilog - посмотрите в сторону Notepad++:
Цитата
Notepad++ это бесплатный редактор текстовых файлов...
...
Основные особенности Notepad++ :
Подсветка текста и возможность сворачивания блоков, согласно синтаксису языка программирования
Поддерживаются языки : C, C++, Java, C#, XML, HTML, PHP, Javascript, RC ресурсный файл, makefile, ASCII арт файл (расширение .nfo), doxygen, ini файл, batch файл, ASP, VB/VBS файлы исходных кодов, SQL, Objective-C, CSS, Pascal, Perl, Python, Lua, TCL, Assembler, Ruby, Lisp, Scheme, Properties, Diff, Smalltalk, Postscript, VHDL, Ada, Caml, AutoIt, KiXtart, Matlab and Verilog.
...
Настраиваемый пользователем режим подсветки синтаксиса
Авто-завершение набираемого слова
Выделение скобок при редактировании текста

...
zorromen
Чесно меня заинтересовала симуляция в левых пакетах ... особенно в Активе ... чтобы с поддержкой тест бенчей... но вот вопрос ... если в кваркусе при симуляциивидны задержки сгнала на выходе ... что должно быть как в реалии ... то при симуляции во внешних пакетов она будет? или будет рассматриваться идеальный случай когда задержка равно нулю? ... и еще можно подробнее как симулировать в активе кваркусовский проект ... то шото неочень получается...
SM
Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.
zorromen
А мультисим? ... Кстати а шо такое просто мультисим и мультисим-альтера? ...
Kopart
Цитата(MobyDick @ Mar 27 2007, 20:56) *
Для VHDL и Verilog - посмотрите в сторону Notepad++:

Посмотрел. Установил. Понравилась. cheers.gif
druzhin
Цитата(Victor® @ Mar 27 2007, 13:01) *
Context
www.context.cx
Есть все что надо, подсветка синтаксиса, автозаполение, хелп можно пристегнуть, например на VHDL...
ну и бесплатный

Я для верилога перепробовал все упомянутые в этой ветке текст-эдиторы. Удобней всех - ConTEXT !!!!!!

В присоединённом файле содержится мой личный верилоговский highlighter для контекста. Он сильно лучше умолчального, нормально распознаёт верилоговский формат чисел. Заточен под ксилинкс. Отсутствующие библиотечные ксилинксовские элементы для подсветки добавляйте сами.
Ещё добавил highlighter для ucf-файлов.
Kopart
Цитата(druzhin @ Mar 28 2007, 14:13) *
Я для верилога перепробовал все упомянутые в этой ветке текст-эдиторы. Удобней всех - ConTEXT !!!!!!

В присоединённом файле содержится мой личный верилоговский highlighter для контекста. Он сильно лучше умолчального, нормально распознаёт верилоговский формат чисел. Заточен под ксилинкс. Отсутствующие библиотечные ксилинксовские элементы для подсветки добавляйте сами.
Ещё добавил highlighter для ucf-файлов.

Замечу, что я до этого пользовался ConTEXT'om и в нем нет, скажем, эстетитической функции сворачивания "функционального блока"
Postoroniy_V
Цитата(SM @ Mar 28 2007, 11:29) *
Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.

в q7.0 поддерживается Aldec Active-HDL version 7.1 SP2
Setting Up the Active-HDL Working Environment
druzhin
Цитата(NiOS @ Mar 28 2007, 14:53) *
Замечу, что я до этого пользовался ConTEXT'om и в нем нет, скажем, эстетитической функции сворачивания "функционального блока"

Ну тогда вам надо попробывать HDL TurboWriter, в нём оно есть. Я сам точно не знаю, что лучше - КонТекст или он. Вот бы их скрестить!
Кстати, я и для турбоврайтера написал прекрасный верилоговский хайлайтер.
dxp
Цитата(SM @ Mar 28 2007, 14:29) *
Будут задержки, если симуляция будет после разводки и размещения и подключите информацию о таймингах (sdf). А актив-хдл напрямую квартусом не поддерживается.

Уже поддерживается. Да и то, что выдает Квартус для стороннего симулятора без вопросов кушается Активом и симуляется. А сейчас там у них какой-то новый интерфейс ввели, чтобы можно было прямо из Квартуса вызывать Актив на симуляцию.
SM
Цитата(zorromen @ Mar 28 2007, 11:55) *
А мультисим? ... Кстати а шо такое просто мультисим и мультисим-альтера? ...


А что такое мультисим я сам не знаю. А вот modelsim - это среда для моделирования фирмы Mentor Graphics.
rv3dll(lex)
попеременно desview и редактор от ISE
zorromen
Извеняюсь ... конечно modelsim ... У меня неполучается правильно запустить ... Компиляция проходт нормально и modelsim запускается а что потом? ... Помогите с правильной последовательностью действий ...
SM
Вот там описано шаг за шагом => http://www.altera.com/support/software/nat...using_msim.html
zorromen
У меня пишет когда пускаешь симуляцию из кваки6.0 в modelsim6.2f


vsim gate_work.plis
# vsim gate_work.plis
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: plis.vo(69): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(101): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(144): Module 'cyclone_lcell' is not defined.
# ** Error: plis.vo(169): Module 'cyclone_io' is not defined.
# Optimization failed
# Error loading design

И че делать?
dxp
Цитата(zorromen @ Mar 29 2007, 15:50) *
У меня пишет когда пускаешь симуляцию из кваки6.0 в modelsim6.2f
vsim gate_work.plis
# vsim gate_work.plis
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: plis.vo(69): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(101): Module 'cyclone_io' is not defined.
# ** Error: plis.vo(144): Module 'cyclone_lcell' is not defined.
# ** Error: plis.vo(169): Module 'cyclone_io' is not defined.
# Optimization failed
# Error loading design

И че делать?

Очевидно, библиотеки подключить. Которые лежат у Квартуса в \eda\sim_lib\. В данном случае по всему видно, что нужна cyclone_atoms.
zorromen
Люди помогите ... меня уже это все достало ... как правильно , по шагам ... что нажимать ичто куда писать ... чтобы запустить на симуляцию в модельсиме из квака 6.0 ... я долго непротяну ... напьюсь ... мож так заработает) ...
sazh
Quartus нормально поддерживает языки описания. Нормально все моделирует. (Графический ввод входных воздействий). Прогоните польностью свой проект в нем. А потом и за внешние симуляторы можно взяться. Невозможно чистое поле вспахать за один раз.
Malder
Для написнания кода на Verilog'e и VHDL'e пользуюсь PRISM 'ом. Очень грамотный редактор, отлично все подсвечивает.

НО!!! самая главная фича этого редактора - это возможность ПЕЧАТАТЬ любые символы НА НЕСКОЛЬКИХ СТОРОКАХ ОДНОВРЕМЕННО!!!!

В итоге можно легко набрать такой текст:

input
input
input
input
input

Очень полезная функция, т.к. часто приходися делать много однотипных изменений!!!

Кто-нибудь встречал подобные возможности в других прграммах ????
dxp
Цитата(Malder @ May 12 2007, 03:49) *
НО!!! самая главная фича этого редактора - это возможность ПЕЧАТАТЬ любые символы НА НЕСКОЛЬКИХ СТОРОКАХ ОДНОВРЕМЕННО!!!!

В итоге можно легко набрать такой текст:

input
input
input
input
input

Очень полезная функция, т.к. часто приходися делать много однотипных изменений!!!

Кто-нибудь встречал подобные возможности в других прграммах ????

Очевидно имеется в виду функция редактора Word Completion. Она имеется во многих приличных редакторах, например, присутствует в SlickEdit, которым я и пользуюсь в том числе для кодирования HDL.
zltigo
Цитата(Malder @ May 11 2007, 23:49) *
Кто-нибудь встречал подобные возможности в других прграммах ????

Прикольно. Реализуется в любом приличном редакторе (в том-же SlickEdit, Multiedit,...). Надо будет себе такое сделать, только вот, если не сложно, как процесс входа и выхода из этого режима в PRISM происходит?
Что-то я ни на чем конкретном свой выбор остановить не могу sad.gif, все как-то не совсем удобным кажется.
Malder
Цитата(zltigo @ May 13 2007, 19:47) *
Прикольно. Реализуется в любом приличном редакторе (в том-же SlickEdit, Multiedit,...). Надо будет себе такое сделать, только вот, если не сложно, как процесс входа и выхода из этого режима в PRISM происходит?
Что-то я ни на чем конкретном свой выбор остановить не могу sad.gif, все как-то не совсем удобным кажется.


Зажимается Ctrl и левой клавишей мыши выделяется нужный кусок кода, все просто и изящно! =)
BSV
В UltraEdit и UEStudio имеется такой режим - называется Column mode. Там еще можно произвольный прямоугольный кусок выделить и перенести или скопировать.
sumerik
Цитата(BSV @ May 28 2007, 21:31) *
В UltraEdit и UEStudio имеется такой режим - называется Column mode. Там еще можно произвольный прямоугольный кусок выделить и перенести или скопировать.

в Prism вроде бы тоже можно. тот же Контроло и мышкой выделем
RHnd
А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.
Кстати, поставил сейчас ConTEXT - а что там за функции пользователя (F9-F12)?
И вопрос по Notepad++ - можно ли там комментирование блока на хоткей поставить?
zltigo
Цитата(Malder @ May 28 2007, 09:15) *
Зажимается Ctrl и левой клавишей мыши выделяется нужный кусок кода, все просто и изящно! =)

Ничего не понял в изяществе - причем тут 'выделяем' к печатаем. Получается это не 'печатаем' а банально размножаем в выделенной колонке. Опять мышки sad.gif. Неудобно.
Думалось, что входим в режим, указываем количество строк и в реальном времени наслаждаемся результатом.




Цитата(RHnd @ Jul 15 2007, 22:23) *
А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена?

Достаточно обычная функция. И несколько буферов и иавигация по ним, и операции склейки, редактирования, стековые. Но вообще-то у меня в MEW это совсем не воcтребованная функция.
Doka
Цитата(RHnd @ Jul 15 2007, 23:23) *
работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.


а если не секрет - зачем?.. какая в этом может быть реальная потрбность?
(учитывая что большиснтво юзверей назначает Ctrl+V Ctrl+C на дополнительные кнопки мыши, а тут искать (пусть даже ради возможности нескольких буферов) разные комбинации)

PS: хотя если сами фрагменты более -менее статичные (шаблоны?) , то реализовать через фичу многих редакторов - templates - быстрая вставка из базы пользовательских примитивов.
makc
Цитата(RHnd @ Jul 15 2007, 23:23) *
А подскажите, умеет ли кто-нить из перечисленных редакторов (или других) работать с несколькими буфферами обмена? Т.е. например, выделяю ТЕКСТ1, нажимаем Ctrl+1, выделяем ТЕКСТ2, нажимаем Ctrl+2. Потом нажимаем Alt+1 - вставляется ТЕКСТ1, Alt+2 - ТЕКСТ2.
Кстати, поставил сейчас ConTEXT - а что там за функции пользователя (F9-F12)?
И вопрос по Notepad++ - можно ли там комментирование блока на хоткей поставить?


(g)vim умеет. В командах для работы с текстом (выделение/вставка) можно указать идентификатор буфера (регистра) для выполнения операции.


Цитата(Doka @ Jul 16 2007, 02:21) *
а если не секрет - зачем?.. какая в этом может быть реальная потрбность?
(учитывая что большиснтво юзверей назначает Ctrl+V Ctrl+C на дополнительные кнопки мыши, а тут искать (пусть даже ради возможности нескольких буферов) разные комбинации)

PS: хотя если сами фрагменты более -менее статичные (шаблоны?) , то реализовать через фичу многих редакторов - templates - быстрая вставка из базы пользовательских примитивов.


Это иногда бывает полезно, когда собираешь что-нибудь по кусочкам в одном месте. Чтобы сначала набрать пачку блоков, а потом их вставить и использовать.
RHnd
Поставил себе notepad++. Нравится. Однако, возникло несколько вопросов:
1) Такое ощущение, что FAQ с сайта слегка устарел по отношеню к программе и вид всех диалогов изменен. В частности, по факу с сайта я так и не смог найти, где можно настроить набор слов, которые будут в верилоге открывать и закрывать блок, т.е. можно будет сворачивать текст между ними.
2) Так же не нашел работу с темплейтами. Единственно, что похоже - плагин quikText, но его я не смог подружить с verilog. sad.gif
help.gif
Саша Z
Цитата(RHnd @ Feb 9 2008, 17:46) *
Поставил себе notepad++. Нравится. Однако, возникло несколько вопросов:
1) Такое ощущение, что FAQ с сайта слегка устарел по отношеню к программе и вид всех диалогов изменен. В частности, по факу с сайта я так и не смог найти, где можно настроить набор слов, которые будут в верилоге открывать и закрывать блок, т.е. можно будет сворачивать текст между ними.
2) Так же не нашел работу с темплейтами. Единственно, что похоже - плагин quikText, но его я не смог подружить с verilog. sad.gif
help.gif


К сожалению не смогу помочь моим минимальным опытом в notepad++, но ен так давно тоже рассматривал оптции редакторов, пробовал несколько, все-таки остановился на notepad++. Простота + функциональность (я на VHDLе) очень привлекли...
Doka
RHnd
сейчас поставил себе эту штуку - посмотреть хоть что такое
версия - 4.7.5

при выбранном "синтаксис -> verilog"
нормально распознаются блоки begin-end & function-endfunction
единственное точ - не поддерживается похоже "module-endmodule".
RHnd
Цитата(Doka @ Feb 10 2008, 15:14) *
при выбранном "синтаксис -> verilog"
нормально распознаются блоки begin-end & function-endfunction
единственное точ - не поддерживается похоже "module-endmodule".

Вот именно поэтому я бы хотел найти, где это добавляется. Причем, module-endmodule практически не актуально (1 файл - один модуль), а хочется добавить какое-нить слово, начинающееся с // для отмечания начала-конца декларации регистров и вайров. А то мешают блоки декларации на полторы страницы. sad.gif Или, может, есть какой-то общеупотребительный способ, о котором я просто не знаю? smile.gif
CaPpuCcino
подсветка систаксиса СистемВерилог для УльтраЕдит начиная с 13 версии (самоляпная, так что мож что упустил)
CaPpuCcino
Цитата(CaPpuCcino @ Feb 18 2008, 13:01) *
подсветка систаксиса СистемВерилог для УльтраЕдит начиная с 13 версии (самоляпная, так что мож что упустил)

немного подправил автоматической выравнивание текста:
/Indent Strings = "fork" "specify" "config" "class" "function" "task" "always" "always_ff" "always_comb" "always_latch" "initial" "final" "repeat" "do" "case" "for" "repeat" "forever" "program" "endprogram" "package" "interface" "if" "else" "begin" "property"
/Unindent Strings = "join" "join_any" "join_none" "while" "end" "else"
/Open Brace Strings = "{" "(" "[" "begin" "do" "case" "property"
/Close Brace Strings = "}" ")" "]" "end" "while" "endcase" "endproperty"
/Open Fold Strings = "begin" "specifiy" "function" "task" "class" "do" "case" "package" "(" "property"
/Close Fold Strings = "end" "endspecify" "endfunction" "endtask" "endclass" "while" "endcase" "endpackage" ")" "endproperty"
LeonY
Господа! Еще один вопрос о "редакторах HDL". Кто-нибудь знает редактор с функцией "авто-форматирования"? Т.е. задача такая: берется уродский техт на VHDL/Verilog и нажатием одной волшебной кнопочки превращается из уродского в правильно отформатированный. Ессесно "правильно отформатированный" подразумевает задание правил онного отформатирования в соответсвии с определенными стандартами/личными предпочтениями.
Vadim
Цитата(LeonY @ Mar 6 2008, 17:08) *
Господа! Еще один вопрос о "редакторах HDL". Кто-нибудь знает редактор с функцией "авто-форматирования"? Т.е. задача такая: берется уродский техт на VHDL/Verilog и нажатием одной волшебной кнопочки превращается из уродского в правильно отформатированный. Ессесно "правильно отформатированный" подразумевает задание правил онного отформатирования в соответсвии с определенными стандартами/личными предпочтениями.

Пользовался автоформатированием, когда сидел на Active HDL, но там оно есть - и все, никаких настроек не нашел. Любой мало-мальски приличный текстовый редактор обязан иметь эту функцию. А как ее будет реализовывать пользователь - это уже другой вопрос. Тэги, скрипты ...
makc
Цитата(LeonY @ Mar 6 2008, 16:08) *
Господа! Еще один вопрос о "редакторах HDL". Кто-нибудь знает редактор с функцией "авто-форматирования"? Т.е. задача такая: берется уродский техт на VHDL/Verilog и нажатием одной волшебной кнопочки превращается из уродского в правильно отформатированный. Ессесно "правильно отформатированный" подразумевает задание правил онного отформатирования в соответсвии с определенными стандартами/личными предпочтениями.


(g)vim 7.1 - выделяется в визуальном режиме блок, далее нажимается кнопка '=' и вуаля - есть правильное форматирование. smile.gif
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.