Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: массив на триггерах
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
zcost
Как объяснить синтезатору, что нужно массив выполнить на триггерах для Спартана-3.

Объявляю массивы:

subtype data_type is STD_LOGIC_VECTOR( 15 downto 0 );
type data_array_type is array( 0 to 7 ) of data_type;

signal mem_wr_8x16: data_array_type;
signal mem_rd_8x16: data_array_type;

Но ISE9.1 упорно пытается организовать массивы с помощью RAM,а хотелось бы это сделать на триггерах, память нужна для других целей.
AJIEKCEu
Цитата(zcost @ Aug 28 2007, 10:28) *
Как объяснить синтезатору, что нужно массив выполнить на триггерах для Спартана-3.

Объявляю массивы:

subtype data_type is STD_LOGIC_VECTOR( 15 downto 0 );
type data_array_type is array( 0 to 7 ) of data_type;

signal mem_wr_8x16: data_array_type;
signal mem_rd_8x16: data_array_type;

Но ISE9.1 упорно пытается организовать массивы с помощью RAM,а хотелось бы это сделать на триггерах, память нужна для других целей.


Именно на триггерах? Или распределенная память тоже сойдет?
Если сойдет, то читайте доку по xst. У меня она находится в
<головная папка>\doc\usenglish\books\docs\xst\

Вас интересует атрибут RAM_STYLE

Насколько я понимаю, должно быть где-то так:
Код
subtype data_type is STD_LOGIC_VECTOR( 15 downto 0 );
type data_array_type is array( 0 to 7 ) of data_type;

signal mem_wr_8x16: data_array_type;
signal mem_rd_8x16: data_array_type;

attribute ram_style: string;
attribute ram_style of mem_wr_8x16: signal is “pipe_distributed”;
attribute ram_style of mem_rd_8x16: signal is “pipe_distributed”;


Можно ещё попробовать вместо “pipe_distributed” просто “distributed” но у мекня в доке есть фраза:
"Note: You can only specify the pipe_distributed value through VHDL/Verilog or XCF
constraints."
Поэтому, мне кажется - все таки первый вариант.
Ну и почитайте все таки доку. У меня ISE 8.1. Может уже чего изменилось в лучшую сторону?
zcost
Нужно именно на тригеррах, так как возникает в дальнейшем необходимость за один такт скопировать
содержимое одного массива в другой.

mem_rd_8x16<=mem_wr_8x16;

с помощью памяти такая операция навряд ли возможна.
andrew_b
Цитата(zcost @ Aug 28 2007, 11:14) *
Нужно именно на тригеррах

Заведите на них reset.
zcost
Цитата(andrew_b @ Aug 28 2007, 10:16) *
Заведите на них reset.


Не понял на счет reset
Имеется в виду:

if(reset ='1') then
mem_rd_8x16<=(others=> '0');
end if;
AJIEKCEu
Цитата
Нужно именно на тригеррах, так как возникает в дальнейшем необходимость за один такт скопировать
содержимое одного массива в другой.

mem_rd_8x16<=mem_wr_8x16;

с помощью памяти такая операция навряд ли возможна.



Не понял. У вас есть эта операция в коде и XST синтезит вам ЭТО на памяти??? НЕ ВЕРЮ.

Если это так - сорсы в студию. Буду сам пробовать. Это явно ошибка в сорсах.

Если у вас этой строки в коде нет, но она "будет потом" - вставьте её сейчас и у вас сразу без лишних телодвижений все сделается на триггерах. Уверен на 99%.

-----
Добавлено:
То, что написано выше и так должно помочь ИМХО. Но если такая же проблема с одной памятью, достаточно в том такте, где никакой записи не производится выполнить операцию типа:
mem_rd_8x16<=mem_rd_8x16; --присвоить значение памяти этой же памяти.

И вроде тоже сгенерится на триггерах.
andrew_b
Цитата(zcost @ Aug 28 2007, 11:54) *
Имеется в виду:

Да.
zcost
Цитата(AJIEKCEu @ Aug 28 2007, 11:14) *
Не понял. У вас есть эта операция в коде и XST синтезит вам ЭТО на памяти??? НЕ ВЕРЮ.

Если это так - сорсы в студию. Буду сам пробовать. Это явно ошибка в сорсах.

Если у вас этой строки в коде нет, но она "будет потом" - вставьте её сейчас и у вас сразу без лишних телодвижений все сделается на триггерах. Уверен на 99%.

-----
Добавлено:
То, что написано выше и так должно помочь ИМХО. Но если такая же проблема с одной памятью, достаточно в том такте, где никакой записи не производится выполнить операцию типа:
mem_rd_8x16<=mem_rd_8x16; --присвоить значение памяти этой же памяти.

И вроде тоже сгенерится на триггерах.


Действительно вставил эту строку и сгенерировалось все на триггерах.
AJIEKCEu
Цитата(zcost @ Aug 28 2007, 12:23) *
Действительно вставил эту строку и сгенерировалось все на триггерах.


Рад был помочь smile.gif

На будующее: Если синтезатор синтезит на памяти то, что не должно на ней синтезиться - либо это ошибка в коде, либо вы не до конца знаете возможности памяти smile.gif
zcost
Цитата(AJIEKCEu @ Aug 28 2007, 09:50) *
Именно на триггерах? Или распределенная память тоже сойдет?
Если сойдет, то читайте доку по xst. У меня она находится в
<головная папка>\doc\usenglish\books\docs\xst\

Вас интересует атрибут RAM_STYLE

Насколько я понимаю, должно быть где-то так:
Код
subtype data_type is STD_LOGIC_VECTOR( 15 downto 0 );
type data_array_type is array( 0 to 7 ) of data_type;

signal mem_wr_8x16: data_array_type;
signal mem_rd_8x16: data_array_type;

attribute ram_style: string;
attribute ram_style of mem_wr_8x16: signal is ”pipe_distributed”;
attribute ram_style of mem_rd_8x16: signal is ”pipe_distributed”;



Можно ещё попробовать вместо ”pipe_distributed” просто ”distributed” но у мекня в доке есть фраза:
"Note: You can only specify the pipe_distributed value through VHDL/Verilog or XCF
constraints."
Поэтому, мне кажется - все таки первый вариант.
Ну и почитайте все таки доку. У меня ISE 8.1. Может уже чего изменилось в лучшую сторону?


А не подойдет ли для моих целей attribute ram_extract?
Не смог найти в доках что такое pipe_distributed
sazh
Если нужно как регистры, почему нельзя сразу описать данные как одномерный массив.
zcost
Цитата(sazh @ Aug 28 2007, 12:25) *
Если нужно как регистры, почему нельзя сразу описать данные как одномерный массив.


Наверное можно, только код сложнее станет, надо будет индексы вычислять и типы преобразовывать.
Можно еще явно флип-флопы закомпонентить.
sazh
Конечно странно. что средствами пакета вы не можете дать указания синтезатору во что укладывать. в регистр или память. Но я не об этом.
По большому счету ведь все едино как массив данных скомпонован, одномерный или двумерный.
Наверно и у Вас стандартная ситуация. Накопить n 16 разрядных слов данных и сбросить разом.
Можно это как двумерный массив описать. Можно как одномерный. Просто пример. Может интересно будет.

entity shift_rga is
Port (
clk : in STD_LOGIC;
load : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (15 downto 0);
data_outa : out STD_LOGIC_VECTOR (127 downto 0);
data_outb : out STD_LOGIC_VECTOR (127 downto 0)
);
end shift_rga;

architecture Behavioral of shift_rga is

signal outa : std_logic_vector(127 downto 0);
signal outb : std_logic_vector(127 downto 0);

begin

process (clk)
begin
if clk'event and clk = '1' then
if load = '1' then
outa <= outa(111 downto 0) & data_in;
outb <= data_in & outb(127 downto 16);
end if;
end if;
end process;

data_outa <= outa;
data_outb <= outb;

end Behavioral;
vetal
хм...а чем не нравится размещение в озу?
Взять озу размером 2х пока копим одну половинку - работаем сдругой. "Быстрое копирование" получается путем изменения старшего бита адреса...все очень даже удобно.
zcost
Цитата(sazh @ Aug 28 2007, 13:30) *
Конечно странно. что средствами пакета вы не можете дать указания синтезатору во что укладывать. в регистр или память. Но я не об этом.
По большому счету ведь все едино как массив данных скомпонован, одномерный или двумерный.
Наверно и у Вас стандартная ситуация. Накопить n 16 разрядных слов данных и сбросить разом.
Можно это как двумерный массив описать. Можно как одномерный. Просто пример. Может интересно будет.

entity shift_rga is
Port (
clk : in STD_LOGIC;
load : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (15 downto 0);
data_outa : out STD_LOGIC_VECTOR (127 downto 0);
data_outb : out STD_LOGIC_VECTOR (127 downto 0)
);
end shift_rga;

architecture Behavioral of shift_rga is

signal outa : std_logic_vector(127 downto 0);
signal outb : std_logic_vector(127 downto 0);

begin

process (clk)
begin
if clk'event and clk = '1' then
if load = '1' then
outa <= outa(111 downto 0) & data_in;
outb <= data_in & outb(127 downto 16);
end if;
end if;
end process;

data_outa <= outa;
data_outb <= outb;

end Behavioral;


В принципе проблему решил.
А за пример спасибо. Не совсем то, но мысль весьма интересная. Вполне может в будущем пригодиться.

Цитата(vetal @ Aug 28 2007, 13:45) *
хм...а чем не нравится размещение в озу?
Взять озу размером 2х пока копим одну половинку - работаем сдругой. "Быстрое копирование" получается путем изменения старшего бита адреса...все очень даже удобно.


Согласен, что удобно, только с памятью в проекте напряг.
Boris_TS
Цитата(zcost @ Aug 28 2007, 12:38) *
Не смог найти в доках что такое pipe_distributed
Что такое pipe_distributed описанно в XST.PDF, подробно и с картинками (по крайней мере в ISE 9.1)
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.