Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Ethernet + Cyclone + Nios
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Системы на ПЛИС - System on a Programmable Chip (SoPC)
Страницы: 1, 2, 3, 4, 5, 6, 7, 8
yura-w
Здравствуйте,
хочу сделать устройство: Ethernet (10/100) + CycloneII + NiosII.
Подскажите пожалуйста,
на какую корку (TCP/IP) и соответствующую ей аппаратную часть обратить внимание
RHnd
Цитата(yura-w @ Oct 10 2007, 21:05) *
Здравствуйте,
хочу сделать устройство: Ethernet (10/100) + CycloneII + NiosII.
Подскажите пожалуйста,
на какую корку (TCP/IP) и соответствующую ей аппаратную часть обратить внимание


Могу подсказать, что на отладочной плате NIOSII CyclonII Edition есть Ethernet. Так что даже если самой платы нет, то можно глянуть на альтеровские примеры веб-сервера.
yura-w
Цитата(RHnd @ Oct 10 2007, 23:35) *
...на отладочной плате NIOSII CyclonII Edition есть Ethernet...

спасибо посмотрел,
поиск от туда мне и надо было начинать…
похоже, этого будет достаточно для закрытия темы
yura-w
подскажите, кто-нибудь решал такую задачу на базе Davicom?
например у terasic DE2 есть DM9000A, но можно ли ее ip-core применить в собственном проекте
(да и микросхему не просто достать), или лучше идти по пути LAN91C111?
iosifk
Цитата(yura-w @ Oct 15 2007, 19:42) *
подскажите, кто-нибудь решал такую задачу на базе Davicom?
например у terasic DE2 есть DM9000A, но можно ли ее ip-core применить в собственном проекте
(да и микросхему не просто достать), или лучше идти по пути LAN91C111?

Могу предложить Micrel KSZ8841/2. Описания на русском - у меня на сайте, в разделе "статьи"...
Лежат на складе...
На них Метроком успешно делает свои приборы... Так что есть у кого посмотреть драйвера...
Будут вопросы - пишите.
Удачи!
yura-w
Цитата(iosifk @ Oct 16 2007, 09:30) *
...Будут вопросы - пишите.
Удачи!


Спасибо за помощь,
По данной теме появился обозревательный семинар:
"Implementing Flexible Industrial Ethernet Solutions":

http://www.altera.com/education/webcasts/a...industrial.html
Postoroniy_V
Цитата(yura-w @ Oct 11 2007, 02:05) *
Здравствуйте,
хочу сделать устройство: Ethernet (10/100) + CycloneII + NiosII.
Подскажите пожалуйста,
на какую корку (TCP/IP) и соответствующую ей аппаратную часть обратить внимание

тоесть стоит задача реализовать подержку TCP/IP в железе а не в софте?
или всё таки в железе как здесь
тут вот исходники можно взять если всё таки в железе

Цитата(yura-w @ Oct 16 2007, 00:42) *
подскажите, кто-нибудь решал такую задачу на базе Davicom?
например у terasic DE2 есть DM9000A, но можно ли ее ip-core применить в собственном проекте
(да и микросхему не просто достать), или лучше идти по пути LAN91C111?

давиком9000 и лан91 это MAC уровень. о каком ip-core идёт речь?
на самом деле какой MAC вы собрались использовать всё равно, лишь бы доставаем был и доки были
а TCP/IP всё же стоит реализовать средствами ниоса, тоесть софтверно.
yura-w
Цитата(Postoroniy_V @ Oct 17 2007, 05:41) *
тоесть стоит задача реализовать подержку TCP/IP в железе а не в софте?

лучше я думаю в софте (изначально задача: объединить плис и инет; и после предварительного знакомства, с новой для меня темой, я решил что удобнее всего реализовать в ниосе)

Цитата
давиком9000 и лан91 это MAC уровень. о каком ip-core идёт речь?

я посчитал, что компоненты добавляемые в sopc builder (для связи ниоса и лан91) это и есть ip-core, я не прав?

Цитата
на самом деле какой MAC вы собрались использовать всё равно, лишь бы доставаем был и доки были

именно об этом я и хотел получить информацию создавая тему.
стыковка МАК и Ниос мне не ясна...
Postoroniy_V
Цитата(yura-w @ Oct 18 2007, 00:25) *
лучше я думаю в софте (изначально задача: объединить плис и инет; и после предварительного знакомства, с новой для меня темой, я решил что удобнее всего реализовать в ниосе)
я посчитал, что компоненты добавляемые в sopc builder (для связи ниоса и лан91) это и есть ip-core, я не прав?
именно об этом я и хотел получить информацию создавая тему.
стыковка МАК и Ниос мне не ясна...

для того чтобы связать проц(ниос) с лан91 нужно почитать про tristate avalona bridge в handbook-e
там всё ясно и просто написано...почти русским по белому smile.gif
вообщем лан91 будет для ниоса как memory mapped устройства вот и все дела

з.ы. доки почитайте smile3046.gif
yura-w
Цитата(iosifk @ Oct 16 2007, 09:30) *
...Описания на русском - у меня на сайте, в разделе "статьи"...

Очеть полезная информация. Спасибо!
Postoroniy_V
Цитата(yura-w @ Oct 19 2007, 02:40) *
Очеть полезная информация. Спасибо!

ага полезная, особенно про мак адрес длиной 3 байта 07.gif
дело ваше конечно, но лучше на англ читать доки smile.gif
J0hnNick
Чтоб не открывать новую тему пишу здесь.
В наличии CycloneII_PCI_Kit-v6.0.1. Необходимо реализовать на ней прием иформации по ethernet с помощью утановленного на ней
lan91c11(аппаратные MAC+PHY)
Что я делаю
1 - создал проект в квартусе.
2 - в SOPC Builder создаю систему с следующими компонентами - cpu_0, pio_0, pio_1, onchip_memory, tri_state_bridge_0, lan91c111_0
3 - Сгенерировал систему, открываю NIOS II IDE
4 - Выбираю простенький проект hello_led_0, успешно компилирую.
Теперь вопрос - как добавить сюда прием из ethernet ???
в квартусе есть HAL драйвера altera_avalon_lan91c111 как их испеользовать?.
NIOS II handbook читал, пока не помогло(((
Срочно нужно это дело запустить и в режиме эмуляции посмотреть работу. Помогите, пожалуйста.
torik
Если хочется быстро запустить и поглядеть - надо из примеров запускать. Но опять же "если", если в примерах это быть.
Волощенко
Цитата(J0hnNick @ Apr 8 2008, 22:32) *
Чтоб не открывать новую тему пишу здесь.
В наличии CycloneII_PCI_Kit-v6.0.1. Необходимо реализовать на ней прием иформации по ethernet с помощью утановленного на ней
lan91c11(аппаратные MAC+PHY)
Что я делаю
1 - создал проект в квартусе.
2 - в SOPC Builder создаю систему с следующими компонентами - cpu_0, pio_0, pio_1, onchip_memory, tri_state_bridge_0, lan91c111_0
3 - Сгенерировал систему, открываю NIOS II IDE
4 - Выбираю простенький проект hello_led_0, успешно компилирую.
Теперь вопрос - как добавить сюда прием из ethernet ???
в квартусе есть HAL драйвера altera_avalon_lan91c111 как их испеользовать?.
NIOS II handbook читал, пока не помогло(((
Срочно нужно это дело запустить и в режиме эмуляции посмотреть работу. Помогите, пожалуйста.

1. Не знаю как на Вашем ките, но у меня на DK-NIOS-2S60N было два примера "Simple Sockek Server", а также "Web Server". С них надо начать изучение Ethernet-100, а после переходить к своим вещам и кодам.
2. Есть несколько готовых разработок, которые могут помочь, что в altera component->NiosII Software Packeges, это:
- altera_iniche
- altera lwip
для последнего light weight IP (lwip) сбрасываю инфу в приложении. Получил ее от одного хорошего человека из Финляндии, по имени Matti Malmstedt.
3. Можно попытаться написать драйвера для lan91c111 самому, кстати, я так и поступил, что так же имеет свои преимущества. Пока скорость 31Мбит/с для UDP.
Удачи.

К модераторам: Похоже, что тему эту целесообразно перенести в раздел для SOPC
J0hnNick
Цитата(Волощенко @ Apr 9 2008, 08:34) *
1. Не знаю как на Вашем ките, но у меня на DK-NIOS-2S60N было два примера "Simple Sockek Server", а также "Web Server". С них надо начать изучение Ethernet-100, а после переходить к своим вещам и кодам.
2. Есть несколько готовых разработок, которые могут помочь, что в altera component->NiosII Software Packeges, это:
- altera_iniche
- altera lwip
для последнего light weight IP (lwip) сбрасываю инфу в приложении. Получил ее от одного хорошего человека из Финляндии, по имени Matti Malmstedt.
3. Можно попытаться написать драйвера для lan91c111 самому, кстати, я так и поступил, что так же имеет свои преимущества. Пока скорость 31Мбит/с для UDP.
Удачи.

К модераторам: Похоже, что тему эту целесообразно перенести в раздел для SOPC


Спасибо, полезный файл) попробовал по инструкции запустить, на файле С:\altera\quartus60\sopc_builder\components\altera_avalon_lan91c111\HAL\src\altera_avalon_lan91c11\.c выдается ошибка use of cast expressions as lvalues is depricated
не пойму в чем дело?
dim99
Время течет, а вопросы возникают одни и те же )

Пытаюсь поднять ethernet на cyclone II с помощью Lan91C111.
После прочтения различных руководств решил собрать в Sopc Builder пример для Nios Simple Socket Server.
Как и написано в руководстве здесь делаю конфигурацию:
* cpu - full
* on-chip - 40960 byte
* jtag-uart
* LAN91C111 MAC/PHY (lan91c111 in SOPC Builder)
* PIO 8-bit output (led_pio in SOPC Builder)
* PIO, 16-bit output (seven_seg_pio in SOPC Builder)
* STDOUT device [UART or Joint Test Action Group (JTAG) UART]
* tristate-bridge для lan91C111

Генерится в Sopc Builder нормально.
Открываю Nios и делаю как написано в tt_nios2_tcpip.pdf: выбираю SSS, прохожу по всем свойствам RTOS и Software Components.
После компиляции выдает следующие сообщения об ошибке:

make: *** [system_description/../obj/system.h-t] Error 1 simple_socket_server_syslib line 0
make: *** [system_project] Error 2 simple_socket_server line 0

Пробовал на различных машинах - результат одинаковый.

Если кто-то сталкивался с этой проблемой, подскажите, как ее решить?
спасибо за помощь.
Serhiy_UA
Цитата(dim99 @ Sep 10 2009, 09:43) *
Время течет, а вопросы возникают одни и те же )

У Вас своя плата или кит от Альтеры? Если второе, то там готовый работающий пример должен быть.
Если все свое, то попробуйте своей программой от NiosII записывать в регистры Lan91C111 и считывать, т.е. получить отклик. Получилось?
Потом нужно программно для Lan91C111 инициализировать PHY и пытаться выдавить что-то, а результат смотреть снифером.
dim99
Цитата(Serhiy_UA @ Sep 10 2009, 14:44) *
У Вас своя плата или кит от Альтеры? Если второе, то там готовый работающий пример должен быть.


Плата от Альтеры Cyclone II EP2C35F672C6.
Как раз и беру пример из Nios - Simple Socket Server.
Пример собранной конфигурации в Sopc
Нажмите для просмотра прикрепленного файла
и ошибка в Nios IDE:

причем вылазит при генерации system.h

Creating system.h...
10.09.2009 17:06:14 - (SEVERE) generate: java.lang.IllegalStateException: java.lang.IllegalStateException: java.lang.NumberFormatException: empty String
make[1]: *** [system_description/../obj/system.h-t] Error 1


Странно, почему из всего этого:
* One of the following networking interfaces
o LAN91C111 MAC/PHY (lan91c111 in SOPC Builder)
o Altera® Triple Speed Ethernet MAC (tse_mac in SOPC Builder)
* Programmable I/O (PIO), 8-bit output (led_pio in SOPC Builder)
* PIO, 16-bit output (seven_seg_pio in SOPC Builder)
* STDOUT device [UART or Joint Test Action Group (JTAG) UART]
* (Optional) LCD display (lcd_display in SOPC Builder)
отсюда

они забыли упомянуть про Timer, без которого естественного ничего не запускалось.
спасибо всем, кто помогал.

решение оказалось на поверхности - RTOS без таймера видимо не работает.
sysel
А кто-нибудь делал Ethernet 100Мбит так:

PHY - внешняя микросхема
MAC - реализация в ПЛИС (на основе IP core)
Отсальное на NIOS (lwip например)

?
dim99
К сожалению, пока так и не удалось скомпилить в Nios IDE Simple Socket Server на Cyclone II без ошибок.
Теперь в alt_error_handler.c
undefined reference to `perror' simple_socket_server_0 alt_error_handler.c line 180



Кто-нибудь сумел собрать в Sopc Builder'e такую конфигурацию, чтобы она успешно откомпилилась в Nios IDE 8.0 SP1.
Собирается StratixII_2S60_ROHS из примера, но нужен cyclone II.

Может у кого-нибудь есть рабочий пример, буду безмерно благодарен.
vadimuzzz
Цитата(sysel @ Sep 10 2009, 20:52) *
А кто-нибудь делал Ethernet 100Мбит так:

PHY - внешняя микросхема
MAC - реализация в ПЛИС (на основе IP core)
Отсальное на NIOS (lwip например)

?

да, а в чем вопрос?
sysel
Цитата(vadimuzzz @ Sep 14 2009, 15:08) *
да, а в чем вопрос?

Вопрос в том, имеет ли смысл применять MAC уровень в виде IP core или же лучше купить микросхему внешнего МАСа.
Serhiy_UA
Цитата(dim99 @ Sep 14 2009, 12:46) *
К сожалению, пока так и не удалось скомпилить в Nios IDE Simple Socket Server на Cyclone II без ошибок.
Может у кого-нибудь есть рабочий пример, буду безмерно благодарен.

У меня DK-NIOS-2S60N. Я скомпилировал в Q7.2 пример SSS на standart и full_featured. Оба раза компиляция прошла нормально.
Сообщения об этом в приложении. Я пробовал sss еще пару лет назад, все получалось. Потом сам писал драйвера для 91c111, как бы усеченный IP-стек, все работает.
vadimuzzz
Цитата(sysel @ Sep 14 2009, 18:52) *
Вопрос в том, имеет ли смысл применять MAC уровень в виде IP core или же лучше купить микросхему внешнего МАСа.

зависит от задачи. если есть ПЛИС, есть ресурсы, то почему бы и нет. думается по производительности этот вариант получше будет.
к тому же внешний MAC подороже PHY, а opencore - бесплатно.
Kuzmi4
А пробовал ли кто OpenCores 10/100 Ethernet MAC with Avalon Interface от Jakob Jones (есчё называется eth_ocm вроде). Вроде по описаниям с буржуйских сайтов довольно неплохая штука: берём DP83848 + эта корка + ниос + сорцы на си и вроде всё фунциклирует (правда как обычно чувствую что где то здесь есть подвох unsure.gif )
vadimuzzz
Цитата(Kuzmi4 @ Sep 15 2009, 18:48) *
А пробовал ли кто OpenCores 10/100 Ethernet MAC with Avalon Interface от Jakob Jones (есчё называется eth_ocm вроде). Вроде по описаниям с буржуйских сайтов довольно неплохая штука: берём DP83848 + эта корка + ниос + сорцы на си и вроде всё фунциклирует (правда как обычно чувствую что где то здесь есть подвох unsure.gif )

тьфу-тьфу, юзаю уже больше года без нареканий.
Kuzmi4
2 vadimuzzz - а можно чуть подетальнее - интересует доводка - пришлось ли допиливать что ?
vadimuzzz
Цитата(Kuzmi4 @ Sep 15 2009, 21:32) *
2 vadimuzzz - а можно чуть подетальнее - интересует доводка - пришлось ли допиливать что ?

практически нет. код драйвера там почти один-в-один с альтеровским (я писал свой, урезанный вариант, поэтому в основном выбрасывал). сама корка мне больше чем альтеровская нравится, там DMA-контроллеры прямо в нее встроены. просто один модуль в SOPC добавлеяшь eth_ocm и все. в альтеровской же (не знаю как сейчас) надо 3 компонента добавлять - tse, и 2 sgdma. hdl-код я не трогал. да, регистров там поменьше конфигурационных. но это скорее плюс smile.gif
чуть не забыл, дока там в комплекте убогая, надо брать от опенкорковского контроллера (автор igor mohor), там все расписано.
Kuzmi4
2 vadimuzzz - благодарствую laughing.gif
sysel
Цитата(vadimuzzz @ Sep 16 2009, 03:06) *
просто один модуль в SOPC добавлеяшь eth_ocm и все.
чуть не забыл, дока там в комплекте убогая, надо брать от опенкорковского контроллера (автор igor mohor), там все расписано.

Не могли бы Вы выложить где-нибудь или отправить мне это ядро с документацией. Что-то на опенкорес не могу его найти.
Какой PHY используете?
vadimuzzz
Цитата(sysel @ Sep 16 2009, 15:03) *
Не могли бы Вы выложить где-нибудь или отправить мне это ядро с документацией. Что-то на опенкорес не могу его найти.
Какой PHY используете?

тут посмотрите (внизу).
PHY пробовал KSZ8041 и LAN8700
dim99
Добрый вечер уважаемые гуру Alter'ы и Nios II.

Есть Cyclone III 3c120, после долгих мучений был найден пример для Ethernet с использованием Triple Speed Ethernet, успешно сгенерировал в Sopc Builder и скомпилировал проект SSS в Nios II IDE 9.0 SP1.

Имеется следующий вопрос:
Достаточно ли будет создать .bdf со сгенерированным Nios и распиновкой ножек на соответствующие входы\выходы, чтобы запустить пример на плате?
Или к элементам типа ddr2_sdram придется городить еще что-то для их работы?

Просто все кажется слишком простым при использовании примера, чтобы запустить ethernet на плате.
А какого-нибудь user guide или мануала для запуска ethernet на CIII нет =(
vadimuzzz
Цитата(dim99 @ Sep 25 2009, 23:48) *
Имеется следующий вопрос:
Достаточно ли будет создать .bdf со сгенерированным Nios и распиновкой ножек на соответствующие входы\выходы, чтобы запустить пример на плате?
Или к элементам типа ddr2_sdram придется городить еще что-то для их работы?

этого достаточно, если все влезает в on-chip. но лучше повозиться, добавить контроллер DDR, чтобы не париться насчет объема памяти. а плата стандартная? если кит, то можно взять готовый пример, там уже все собрано.

Цитата
Просто все кажется слишком простым при использовании примера, чтобы запустить ethernet на плате.
А какого-нибудь user guide или мануала для запуска ethernet на CIII нет =(

а какого рода мануал нужен?
dim99
Цитата(vadimuzzz @ Sep 26 2009, 15:31) *
этого достаточно, если все влезает в on-chip. но лучше повозиться, добавить контроллер DDR, чтобы не париться насчет объема памяти. а плата стандартная? если кит, то можно взять готовый пример, там уже все собрано.

а какого рода мануал нужен?


К сожалению, еще не совсем понимаю, что такое kit, может это кастрированный вариант самой платы?

Сама плата Cyclone III 3с120F780C7.
В примере Sopc Builder есть контроллер DDR, в котором весь софт содержится. Просто для Cyclone II есть примеры в examples и tt_nios2_tcpip.pdf, а для CIII пришлось долго искать хоть что-нибудь(с примером веселее дело идет).

Надеюсь, из pdf для CII можно будет взять ход действий для проверки работоспособности.
vadimuzzz
Цитата(dim99 @ Sep 26 2009, 21:32) *
К сожалению, еще не совсем понимаю, что такое kit, может это кастрированный вариант самой платы?

Сама плата Cyclone III 3с120F780C7.
В примере Sopc Builder есть контроллер DDR, в котором весь софт содержится. Просто для Cyclone II есть примеры в examples и tt_nios2_tcpip.pdf, а для CIII пришлось долго искать хоть что-нибудь(с примером веселее дело идет).

Надеюсь, из pdf для CII можно будет взять ход действий для проверки работоспособности.

вот этот кит :
http://www.altera.com/products/devkits/altera/kit-cyc3.html
?
примеры тут

если нужен полноценный TCP/IP имеет смысл посмотреть в сторону готовых ОСей, например
http://nioswiki.com/index.php?title=Linux&...highlight=linux
dim99
Цитата(vadimuzzz @ Sep 27 2009, 08:43) *
вот этот кит :
http://www.altera.com/products/devkits/altera/kit-cyc3.html
?
примеры тут

если нужен полноценный TCP/IP имеет смысл посмотреть в сторону готовых ОСей, например
http://nioswiki.com/index.php?title=Linux&...highlight=linux


Все теперь понял, что такое kit ) именно так и выглядит.

За примеры тоже огромное спасибо, пытался открыть этот ftp через total, но почему-то папка outgoing была пуста.
dim99
Интересует 2 вопроса:

1. Компонент Lan91C111 в Sopc Builder симулирует эту же микросхему(сам отрабатывает на физическом и mac уровне) или является интерфейсом(набором pio) для микросхемы, которая сама все делает и только передает данные в Nios?

2. Flash память на dev kit Cyclone III работает сама или через max II?
vadimuzzz
Цитата(dim99 @ Oct 4 2009, 00:58) *
Интересует 2 вопроса:

1. Компонент Lan91C111 в Sopc Builder симулирует эту же микросхему(сам отрабатывает на физическом и mac уровне) или является интерфейсом(набором pio) для микросхемы, которая сама все делает и только передает данные в Nios?

2. Flash память на dev kit Cyclone III работает сама или через max II?

1. это Avalon-MM интерфейс к внешнему MAC+PHY. что-то типа Tristate Bridge`а
2. сама, на MAX II сделан USB-Blaster
Kuzmi4
Тут вопросик появился (начал потихоньку ковырять ) по eth_ocm_80_3. А в частности есть значит в портах MII такое объявление:
Цитата
//MII TX
input mtx_clk_pad_i,
output [3:0] mtxd_pad_o,
output mtxen_pad_o,
output mtxerr_pad_o,

В описании к корке сказано, что проверено с
Цитата
3.The National Semiconductor DP83848C 10/100 PHY (used on the Cyclone III embedded development kit).
однако на физике DP83848 в упор нету лапы mtxerr - там только клок/данные и энабл (в KSZ8041 - аналогично)... Её просто не трогать или как ? smile3046.gif
с MII RX частью - все лапы сходятся с теми что есть в наличии в физике blink.gif
vadimuzzz
Цитата(Kuzmi4 @ Oct 8 2009, 14:08) *
Тут вопросик появился (начал потихоньку ковырять ) по eth_ocm_80_3. А в частности есть значит в портах MII такое объявление:

В описании к корке сказано, что проверено с однако на физике DP83848 в упор нету лапы mtxerr - там только клок/данные и энабл (в KSZ8041 - аналогично)... Её просто не трогать или как ? smile3046.gif
с MII RX частью - все лапы сходятся с теми что есть в наличии в физике blink.gif



biggrin.gif "скрипач не нужен" (ц). эта нога только для тестов может понадобиться. на lan8700 в одном из режимов она есть.
dim99
Цитата(vadimuzzz @ Sep 16 2009, 03:06) *
практически нет. код драйвера там почти один-в-один с альтеровским (я писал свой, урезанный вариант, поэтому в основном выбрасывал). сама корка мне больше чем альтеровская нравится, там DMA-контроллеры прямо в нее встроены. просто один модуль в SOPC добавлеяшь eth_ocm и все. в альтеровской же (не знаю как сейчас) надо 3 компонента добавлять - tse, и 2 sgdma. hdl-код я не трогал. да, регистров там поменьше конфигурационных. но это скорее плюс smile.gif
чуть не забыл, дока там в комплекте убогая, надо брать от опенкорковского контроллера (автор igor mohor), там все расписано.


Начал мучать Ethernet y cyclone III dev kit, оказалось, что память DDR2 не подключена еще.
Попробовал TSE, оказался очень прожорлив и не влез по памяти.

Хочу попробовать OpenCores 10/100 Ethernet MAC with Avalon Interface от Jakob Jones (eth_ocm)

Хотелось бы узнать, куда именно прописывать Is_Ethernet_Mac = “1” to the WIZARD_SCRIPT_ARGUMENTS в ptf файле.

И еще: DDR2 у меня не подключена, поэтому использую on-chip. Сколько памяти минимально нужно отвести под eth_ocm?(для буфера видимо)

Можно ссылку на опенкорковского контроллера (автор igor mohor)?
dim99
Нашел решение проблемы, почему не компилился пример из kit:
QII 8.0 не поддерживает пример tse для QII 8.1 и QII 9.0 SP1 ведет себя неадекватно на vista.

Успешно скомпилил проект с tse, правда есть вопрос:
Нажмите для просмотра прикрепленного файла

для работы в 100 mbps необходимо завести 25 Мгц на tx и rx, но в sopc builder clk для всех один - 100 Мгц, с pll завести можно на rx\tx 25 Mhz, но как это отразить в sopc builder?
И куда сигналы ena_10, eth_mode заводить?

был бы рад услышать все предложения.
dim99
Цитата(dim99 @ Oct 26 2009, 20:13) *
И куда сигналы ena_10, eth_mode заводить?


Что-то поспешно все делаю, дочитал до ena_10 и eth_mode - сигналы задают режим работы ethernet - 10, 100 или gigabit.
Все описание есть в ug на triple speed.

Не нашел как отредактировать сообщение. надеюсь объединят, дабы не флудить.
vadimuzzz
Цитата(dim99 @ Oct 21 2009, 11:57) *
Начал мучать Ethernet y cyclone III dev kit, оказалось, что память DDR2 не подключена еще.
Попробовал TSE, оказался очень прожорлив и не влез по памяти.

Хочу попробовать OpenCores 10/100 Ethernet MAC with Avalon Interface от Jakob Jones (eth_ocm)
И еще: DDR2 у меня не подключена, поэтому использую on-chip. Сколько памяти минимально нужно отвести под eth_ocm?(для буфера видимо)

Можно ссылку на опенкорковского контроллера (автор igor mohor)?

ссылка вот http://www.opencores.org/project,ethmac
по памяти они, ЕМНИП, примерно одинаково занимают, в опенкорковском просто буферы уже в модуль завернуты. я делал по 1кБайт(1 блок в циклоне3). если планируется большая нагрузка, то приемный буфер можно увеличить.

Цитата(dim99 @ Oct 26 2009, 23:13) *
Нашел решение проблемы, почему не компилился пример из kit:
QII 8.0 не поддерживает пример tse для QII 8.1 и QII 9.0 SP1 ведет себя неадекватно на vista.

Успешно скомпилил проект с tse, правда есть вопрос:

для работы в 100 mbps необходимо завести 25 Мгц на tx и rx, но в sopc builder clk для всех один - 100 Мгц, с pll завести можно на rx\tx 25 Mhz, но как это отразить в sopc builder?
И куда сигналы ena_10, eth_mode заводить?

был бы рад услышать все предложения.

txc и rxc заводятся с PHY.
сигналы ena_10, eth_mode опциональны
dim99
Надо ли через pll пропускать для задания фазы(clock phase shift)?

И получается надо ставить делитель, если нужна частота отличная от 25mhz для работы в режиме 10mbit(2.5) или gigabit(125)?
или он сам каким-то неведомым способом подбирает частоту в зависимости от установленного соединения?
vadimuzzz
Цитата(dim99 @ Oct 27 2009, 19:42) *
Надо ли через pll пропускать для задания фазы(clock phase shift)?

И получается надо ставить делитель, если нужна частота отличная от 25mhz для работы в режиме 10mbit(2.5) или gigabit(125)?
или он сам каким-то неведомым способом подбирает частоту в зависимости от установленного соединения?

делитель не надо. PHY сам все частоты сгенерит, в зависимости от линка. у него внутри PLL есть. фазу тоже не надо двигать.
dim99
Цитата(vadimuzzz @ Oct 27 2009, 17:37) *
делитель не надо. PHY сам все частоты сгенерит, в зависимости от линка. у него внутри PLL есть. фазу тоже не надо двигать.


то есть с enet_rx_clk(генератор) нужно будет завести на pinout, привявзанный к железу и потом еще и на nios?
Нажмите для просмотра прикрепленного файла

По-другому пока не могу представить, чтоб и на nios связь была и привязать к pin.

Или добавить clk в sopc builder'e для mac, a pin завести как обычно?
vadimuzzz
Цитата(dim99 @ Oct 27 2009, 21:04) *
то есть с enet_rx_clk(генератор) нужно будет завести на pinout, привявзанный к железу и потом еще и на nios

По-другому пока не могу представить, чтоб и на nios связь была и привязать к pin.

надо схематик на плату посмотреть. на PHY должен заводиться опорный клок(с циклона или с генератора, посмотрите на плате). а с PHY на циклон идут txc и rxc.
dim99
не понимаю )
что есть PHY - это физический уровень чего?
vadimuzzz
Цитата(dim99 @ Oct 27 2009, 21:26) *
не понимаю )
что есть PHY - это физический уровень чего?

"ну вы блин даете" (ц) ethernet, конечно. MAC синтезируется внутри циклона, а PHY - внешний на плате (какая микруха, кстати?)
или это я переработал? wacko.gif
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.