Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Цифровые линии задержки.
Форум разработчиков электроники ELECTRONIX.ru > Аналоговая и цифровая техника, прикладная электроника > Цифровые схемы, высокоскоростные ЦС
sK0T
Никак не могу найти в Москве контору, которая будет готова продать мне в розницу цифровые линии задержки. Как будто вымерли все. Мне надо получить задержки в духе 0,25 ns. Поэтому возникли бредовые мысли, оцените их пожалуйста:

1) берём RC-цепочку посреди двух буферных элементов. Вместо R ставим цифровой потенциометр, а вместо C какой-нибудь ну очень прецизионный и термостабильный конденсатор. Что-нибудь дельное получится? На какую точность можно рассчитывать? Порог срабатывания TTL-входа сильно плавает?

2) Берём и тупо вытравливаем на плате ряд проводников разной длины. С одной стороны шина, с другой стороны шина. В зависимости от требуемой задержки сигнал пробегает по соответствующему проводнику.
s_yakov
Цитата(sK0T @ Apr 27 2005, 22:20)
Никак не могу найти в Москве контору, которая будет готова продать мне в розницу цифровые линии задержки. Как будто вымерли все. Мне надо получить задержки в духе 0,25 ns. Поэтому возникли бредовые мысли, оцените их пожалуйста:

1) берём RC-цепочку посреди двух буферных элементов. Вместо R ставим цифровой потенциометр, а вместо C какой-нибудь ну очень прецизионный и термостабильный конденсатор. Что-нибудь дельное получится? На какую точность можно рассчитывать? Порог срабатывания TTL-входа сильно плавает?

2) Берём и тупо вытравливаем на плате ряд проводников разной длины. С одной стороны шина, с другой стороны шина. В зависимости от требуемой задержки сигнал пробегает по соответствующему проводнику.
*

А линии задержки на ПАВ не подойдут?
Alexandr
Первый вариант отметается сразу, ибо задержка буфера (а в схемах такого типа буферами являются операционники) превысит Ваши 0,25нС (Из арифметики: предположим что вы работаете с 3,3В логикой - тогда для того чтобы на выходе операционика появилось напряжение 3В за 0,25нС необходимо чтобы скорость наростания фронта была бы 3*4*1000В/мкС, таких операционников я не встречал, хотя может конечно и существуют) - и это только один операционник без RC-цепи и второго операционника на выходе.
Вариант 2 в принципе реализуем, но все опять зависит от того какой способ комутации между проводниками Вы выберете (надо смотреть на задержки вносимые коммутациооным элементом (аналоговый переключатель)).
И помоему тема про линии задержки уже обсуждалась на форуме, поищите получше
nicom
Вы ничего не сказали про диапазон частот, погрешность и главное для чего...
но все таки...
Для широкополосных ЛЗ чаще используют согласованные LC линии задержки.
можно попробовать и расчитать на печатной плате такую ЛЗ с отводами и соединить с коммутатором на достаточно быстрых ключах. В принципе, например, логика внутри одного корпуса дает очень маленький разброс времен передачи. Но вся эта система будет "хорошо" плавать от температуры, напряжения питания и амплитуды сигнала. - общая подставка... Можно прокалибровать и записать в память и корректировать в зависимости от температуры, например и т.д.
Некую подобную задачу мы решили принципиально другим подходом, в котором избавились от такой ЛЗ... опять таки не ясна Ваша общая цель...
Lonesome Wolf
Если речь идет, чтобы задержать цифровой сигнал, то можно применить MC100EP195

"The MC10/100EP195 is a programmable delay chip (PDC) designed
primarily for clock deskewing and timing adjustment. It provides variable
delay of a differential NECL/PECL input transition."

"Maximum Input Clock Frequency >1.2 GHz Typical
• Programmable Range: 0 ns to 10 ns
• Delay Range: 2.2 ns to 12.2 ns
• 10 ps Increments"

Единственное, на что нужно обращать внимание - температурный дрейф.
sK0T
Цитата(Lonesome Wolf @ Apr 28 2005, 12:53)
Если речь идет, чтобы задержать цифровой сигнал, то можно применить MC100EP195

Единственное, на что нужно обращать внимание - температурный дрейф.
*


Да, задержать цифровой сигнал. Единственное, на что нужно обращать внимание — отсутствие предложенной Вами микросхемы в Москве. Ну по данным efind.ru по крайней мере все хотят продавать их из Европы партиями не менее 100 штук. :-(
Alexandr
Может я чего не понял, но указанные Вами 0,25нС вот этому
Цитата
Delay Range: 2.2 ns to 12.2 ns
явно не удовлетворяют <_<
sK0T
Цитата(Alexandr @ Apr 28 2005, 14:15)
Может я чего не понял, но указанные Вами 0,25нС вот этому
Цитата
Delay Range: 2.2 ns to 12.2 ns
явно не удовлетворяют <_<
*



Цитирую: «10 ps Increments». Мне это вполне, так как могу задержать и второй сигнал на эти самые изначальные 2.2 второй такой микросхемой. Неправильно наверное в первом своём посте выразился. :-/

Мне в общем-то надо во временной области «эхо» поймать. Есть линия, есть приёмник. На линию могу выдать сигнал и с задержкой. :-)
Lonesome Wolf
Цитата(sK0T @ Apr 28 2005, 13:11)
...Единственное, на что нужно обращать внимание — отсутствие предложенной Вами микросхемы в Москве. Ну по данным efind.ru по крайней мере все хотят продавать их из Европы партиями не менее 100 штук. :-(
*



Это уж точно sad.gif

ECL микросхемы крайне сложно достать.

Я поимел парочку когда еще Onsemi сэмплы высылала.

А без ECL часто очень сложно обходиться. Все-таки fully differential design - это вещь - у меня кольцевой счетчик на 4 на 1.75 ГГц работал абсолютно стабильно - получал 90-градусный сдвиг.

Есть еще аналог SY100EP195VTI от Micrel, но это тоже редкая птица, подозреваю.

Наши немецкие партнеры пользуются Arrow Electronics - не знаю есть ли представительство в России. Есть еще Spoerle, но у них тоже обычно надо много заказывать.
sK0T
Цитата(Lonesome Wolf @ Apr 28 2005, 17:39)
Есть еще аналог SY100EP195VTI от Micrel, но это тоже редкая птица, подозреваю.

*


Так! А вот с этим похоже хорошо, продавать поштучно хотят.

Не разглядел слова «аналог», с pdf-кой вопрос снят. :-D
nicom
На МС100ХХ195 каждый сможет...
...а для получения удовольствия... коммутаторы получаются совсем не плохие из SN74CB3Qхххх, например, или типа того. tpd <0.15ns, а разброс, хотя и не описан, порядка 10-25ps между каналами. Если делать ЛЗ на LC и использовать подобную микросхему в качестве мультиплексора получается не так плохо. Через подобную схему ЛЗ передавать и опорный сигнал, тогда и разброс задержек более-менее откомпенсируется...
wub.gif
Gate
Я покупал (в Петербурге) микросхемы от onsemi, в частности MC100EP195FA, в ООО "ЭМС" - три недели назад ушли деньги, через неделю обещают поставить - так что насколько недежная фирма пока сказать не могу. Платил 551 р. за шт. без НДС. Если интересно, могу посмотреть на работе координаты - в РМ.
Zig
для того чтобы получить задержку порядка 0.25нс - надо не только применять соответствующие линии задержки, но и делать прецизионную трассировку и изготовление печатной платы - такую точность вам никто не сможет обеспечить
очевидно задача приёма "эха" с такой точностью должна решаться другими способами
Lonesome Wolf
Цитата(Zig @ May 6 2005, 12:59)
для того чтобы получить задержку порядка 0.25нс - надо не только применять соответствующие линии задержки, но и делать прецизионную трассировку и изготовление печатной платы - такую точность вам никто не сможет обеспечить
очевидно задача приёма "эха" с такой точностью должна решаться другими способами
*



Вот для того и надо иметь в системе управляемую линию задержки, чтобы компенсировать нестабильности подобной прецизионной системы, используя тот или иной способ периодической калибровки - это намного проще, чем изголятся с параметрической стабилизацией. smile.gif
asdf
[quote=sK0T,Apr 27 2005, 23:20]
Никак не могу найти в Москве контору, которая будет готова продать мне в розницу цифровые линии задержки. Как будто вымерли все. Мне надо получить задержки в духе 0,25 ns. Поэтому возникли бредовые мысли, оцените их пожалуйста:

Я в Москве MC100EP195 и MC100EP196 по десятку в ЭЛКОТЕХе покупал.
Занимаюсь похожей тематикой, и тоже самое можно делать на скоростной 'пиле' и компараторах типа AD96687 или новых MAX9691-9693.
Yuri Ivanov
Цитата(sK0T @ Apr 27 2005, 22:20)
Никак не могу найти в Москве контору, которая будет готова продать мне в розницу цифровые линии задержки. Как будто вымерли все. Мне надо получить задержки в духе 0,25 ns. Поэтому возникли бредовые мысли, оцените их пожалуйста:

1) берём RC-цепочку посреди двух буферных элементов. Вместо R ставим цифровой потенциометр, а вместо C какой-нибудь ну очень прецизионный и термостабильный конденсатор. Что-нибудь дельное получится? На какую точность можно рассчитывать? Порог срабатывания TTL-входа сильно плавает?

2) Берём и тупо вытравливаем на плате ряд проводников разной длины. С одной стороны шина, с другой стороны шина. В зависимости от требуемой задержки сигнал пробегает по соответствующему проводнику.
*


Советую попробовать AD9500 ф. Analog Devices. Дискрет 10 пс, задержка программируется 10 р. цифровым кодом.
asdf
Эта игрушка известна и в Москве доставаема, в частности кажется в Autex-e
есть. Но она с небольшим прикольчиком. Построена на генераторе пилы и при увеличении длительности задежки пропорционально растет джитер. Поэтому при задержках до несколких наносек. еще ничего, а при десятках уже плохо.
Lonesome Wolf
Цитата(Yuri Ivanov @ May 26 2005, 20:12)
Советую попробовать AD9500 ф. Analog Devices. Дискрет 10 пс, задержка программируется 10 р. цифровым кодом.
*


AD9500 обозначена как снятая с производства на сайте AD
avn2004
Контора где я работаю. приобрела ЦЛЗ - 3D3428Z-0.25 фирмы "DATA DELAY"
, пол-года назад. Количество было единичное . срок поставки -2 месяца, цена около 40 у. е. Можете попробовать поискать в этом направлении.

http://www.datadelay.com/datasheets/3d3428.pdf
ffilin
Цитата(sK0T @ Apr 27 2005, 23:20) *
Никак не могу найти в Москве контору, которая будет готова продать мне в розницу цифровые линии задержки. Как будто вымерли все. Мне надо получить задержки в духе 0,25 ns. Поэтому возникли бредовые мысли, оцените их пожалуйста:

1) берём RC-цепочку посреди двух буферных элементов. Вместо R ставим цифровой потенциометр, а вместо C какой-нибудь ну очень прецизионный и термостабильный конденсатор. Что-нибудь дельное получится? На какую точность можно рассчитывать? Порог срабатывания TTL-входа сильно плавает?

2) Берём и тупо вытравливаем на плате ряд проводников разной длины. С одной стороны шина, с другой стороны шина. В зависимости от требуемой задержки сигнал пробегает по соответствующему проводнику.


попробуй
http://www.cqham.ru/super/dallas/delay.htm
sasa_c
Мы применяем единично MC10EP195FAG ONS. Где заказывают снабженцы, могу спросить. Но если нужна только одна, отправлю из Беларуси. Применять AD9500 крайне не рекомендую, греется, и от этого плывёт задержка+ джитер, как писали выше. Она в остатках, тоже раньше применялась у нас.
Mikhail241
Можно использовать микросхему DDR DLL. Это как PLL только на цепях задержки. Эти схемы можно использовать как программируемые задержки с очень низким шагом (до сотен пс).
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.