Цитата(BuTeK @ Apr 3 2008, 23:12)

И вот я подумал, можно ли как-нибудь использовать ПЛИС, как проводник а не однонаправленный буфер.
А нужно тоже самое только двунаправленное...
Проводник - он и есть однонаправленный буфер. Есть вход. Есть выход.
Под двунаправленным понимается двунаправленная шина. Реализация такой шины возможна только при наличии контактов I/O и буфера для реализации ZZZZ состояния. Посмотрите структуру шинника 74245. Если управление избыточно, минимизируйте.
На базе таких шинников много чего через Плис прогнать можно. И никого не волнует Ваш протокол обмена по этой (этим) двунаправленной шине (шинам)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ap6 is
port
(
busa : inout std_logic_vector(7 downto 0);
busb : inout std_logic_vector(7 downto 0);
dir : in std_logic;
oe_n : in std_logic
);
end ap6;
Architecture rtl of ap6 is
begin
busa <= busb when (dir = '0' and oe_n = '0') else (others => 'Z');
busb <= busa when (dir = '1' and oe_n = '0') else (others => 'Z');
end rtl;