Цитата(murmel1 @ Dec 20 2008, 22:00)

3) Самое главное для достижения нужных Tsu, Tco, Th - это посчитать какие времена нужны и установить их в assigment editor.
Спасибо!Честно говоря не понял.Ну изменяю я параметры Tsu, Tco, Th - на картинке они меняются,но от этого не легче т.к. время задержки 5.6нс между Launch Clock и Data Arrival не изменяется.
Цитата(slawikg @ Dec 20 2008, 22:00)

Спасибо.Добавление -add_delay никак не сказалось на результате.
Цитата(des00 @ Dec 20 2008, 22:00)

Спасибо!
Да,ошибся я малость с Tsu,нужно не 1нс а 2нс.
Клок ClockDac формируется в ЦАПе(это не совсем ЦАП,а up-converter) и подаётся на вход ПЛИС.
Цитата(des00 @ Dec 20 2008, 22:00)

2. Вы не сказали находятся ли у вас выходные регистры в IO ячейках или нет.
Сказал,возможно криво.(
Фаст аутпут применил(без него вообще было Fmax 90МГц))
Попробовал добавить строки:
вместо #set_output_delay 2.00 -add_delay -clock [get_clocks ClockDac] [get_ports DataDac[*]]
написал
#specify the maximum external clock delay to the FPGA
set CLKs_max 0.200
#specify the minimum external clock delay to the FPGA
set CLKs_min 0.100
#specify the maximum external clock delay to the external device
set CLKd_max 0.200
#specify the minimum external clock delay to the external device
set CLKd_min 0.100
#specify the maximum setup time of the external device
set tSU 2.500
#specify the minimum setup time of the external device
set tH 2.000
#specify the maximum board delay
set BD_max 0.180
#specify the minimum board delay
set BD_min 0.120
#create the associated virtual input clock
create_clock -period 4.620 -name virt_sys_clk
set_output_delay -clock virt_sys_clk \
-max [expr $CLKs_max + $BD_max - $tSU - $CLKd_min] [get_ports {DataDac[*]}]
set_output_delay -clock virt_sys_clk \
-min [expr $CLKs_min + $BD_min - $tH - $CLKd_max] \
[get_ports {DataDac[*]}]
а так же
# Apply a 2ns max delay for an output port (TCO)
set_max_delay -from [get_registers *] -to [get_ports DataDac[*]] 2.000
картинка получилась такой:
Нажмите для просмотра прикрепленного файла Стало ещё непонятней,а Data Arrival не изменилось.