Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: ИКМ-15
Форум разработчиков электроники ELECTRONIX.ru > Интерфейсы > Форумы по интерфейсам > ISDN/G.703/E1
cdg
Может кто подскажет существуют вообще какие либо документы регламентирующие структуру потока ИКМ-15, может ГОСТ, РД, ТУ на худой случай?
DropDown
Цитата(cdg @ Jul 8 2005, 17:41)
Может кто подскажет существуют вообще какие либо документы регламентирующие структуру потока ИКМ-15, может ГОСТ, РД, ТУ на худой случай?
*


нет. таких документов нет
есть "промышленный стандарт" - так сказать "то что получилось".

линейный код - изначально NRZ (или "код Штейна") - с вариациями
до октября 1984 года и после. потом пошло HDB3

структура простая - сверхцикл состоит из 16 циклов.
в каждом цикле - 16 КИ по 64Кбит/с
0КИ - служебный+сигнализация ВСК
cdg
Вот в этих вариация и все проблемы...
Diver
Цитата(DropDown @ Jul 20 2005, 16:54) *
Цитата(cdg @ Jul 8 2005, 17:41)
Может кто подскажет существуют вообще какие либо документы регламентирующие структуру потока ИКМ-15, может ГОСТ, РД, ТУ на худой случай?
*


нет. таких документов нет
есть "промышленный стандарт" - так сказать "то что получилось".

линейный код - изначально NRZ (или "код Штейна") - с вариациями
до октября 1984 года и после. потом пошло HDB3

структура простая - сверхцикл состоит из 16 циклов.
в каждом цикле - 16 КИ по 64Кбит/с
0КИ - служебный+сигнализация ВСК


HDB3 такого зверя ещё не встречал, только AMI и NRZ.
Стандартов нет и не будет. Только брать то что есть и читать описания. Для этого как минимум надо либо купить оборудование, либо попросить у кого оно есть, но дать могут только заказчики. Другие помогать как-либо не будут. Удачи
DropDown
[quote name='Diver' date='Jun 9 2006, 10:49' post='122200']
[quote=cdg,Jul 8 2005, 17:41]Может кто подскажет существуют вообще какие либо документы регламентирующие структуру потока ИКМ-15, может ГОСТ, РД, ТУ на худой случай?
*


HDB3 такого зверя ещё не встречал, только AMI и NRZ.
[/quote]


не знаешь что такое HDB-3 или не встречал оборудования ИКМ-15 с таким линейным кодом?
Diver
Цитата(DropDown @ Jun 10 2006, 09:28) *
не знаешь что такое HDB-3 или не встречал оборудования ИКМ-15 с таким линейным кодом?


Не встречал с таким линейным кодом. Всего встречаются массово два типа оборудования Ива и Кедр. Имеют модификации разного года и свой гемор на голову.
vladec
В ИКМ15 (по крайней мере в Кедре), в ртличии от ИКМ30 на весь поток кроме синхрогруппы еще накладывается разностное кодирование
Kazap
Кроме ТУ, никаких стандартов и не было. Делал ког-то приемник, пришлось учесть все варианты
NRZ, NRZ+(в зависимости от типа платы ЦПРД), AMI, HDB3. Правда реально пригодились только NRZ и +.
Других вариатов даже для испытания не нашлось smile.gif .На самом деле на плисине несложно получается. Информацию брал из технической документации на ИКМ15.
cdg
В общем подитожу, сам я этого зверя сделал на ПЛИС лет так 5 назад, но вопросы остаются и по сей день. Это оборудование сертифицировано, следовательно должны быть:
1) ТУ - обязательно - не встречал, но очень хотелось бы получить
2) РД (и прочее) - должно же ТУ хоть на какието нормативные документы МинСвязи ссылаться - тоже не видел, вот потому и завел ветку обсуждения.
Т.о. на севодняшний момент есть оборудование, которое все выпускают, стыкуются и работают, но никто нормативных документов на него не видел sad.gif или видел но не понял, что это нормативные документы smile.gif
MAZZI
Парни, помогите обогатиться документицией по ИКМ-15, не очень понятны варианты с NRZ, NRZ+; как они реально на линию передаются в какой форме, если AMI нормально документирован, то на "русском" NRZ появляются сомнения, т.к. у разных устройств по разному формы сигналов...
Где правда жизни, Братья? smile.gif
если можно пришлите на drozdov@zelax.ru
cdg
Ищите схему ячеек ЦПРД ЦПРМ на Борисоглебскую полустойку ИКМ-15, может у кого есть в электронном виде, у меня нет sad.gif
MAZZI
Цитата(cdg @ Aug 28 2006, 15:12) *
Ищите схему ячеек ЦПРД ЦПРМ на Борисоглебскую полустойку ИКМ-15, может у кого есть в электронном виде, у меня нет sad.gif


Погодите, не усложняем ли мы что-то?
Поясните, если передается NRZ, то можем ли мы передать через выходной трансформатор длинную последовательность единиц? Или же на самом деле там используется код RZ, а не NRZ?
Может я что-то не правильно понимаю? Разъясните smile.gif.
help.gif
vladec
To MAZZI
Зашивку для ИКМ-15 довелось проектировать очень давно, почти 10 лет назад, поэтому никаких материалов не сохранилось, да вобщем их и не было. Что касается разностного кодирования, то это XOR текущего бита с предыдущим (кроме синхрокомбинации).
MAZZI
Цитата(vladec @ Aug 29 2006, 10:40) *
To MAZZI
Зашивку для ИКМ-15 довелось проектировать очень давно, почти 10 лет назад, поэтому никаких материалов не сохранилось, да вобщем их и не было. Что касается разностного кодирования, то это XOR текущего бита с предыдущим (кроме синхрокомбинации).

Я правильно понял, то что ты описал XOR текущего с предыдущим, по человечески это называется NRZI кодировка (есть перепад = 1, хранение = 0)? И это не одно и тоже NRZ+ и NRZI? Если нет, напишите, пожалуйста чем отличается NRZ+ от NRZ?
В силу того, что нет нормального описанного стандарта, сейчас мы анализируем два решения от разных производителей (у них тоже на свои устройства нет описания), выяснилось, что по крайней мере они действительно в линию передают не RZ, как я предполагал ранее, а NRZ (или NRZI, что более вероятно). Прикольно ещё то, что у одного производителя кодировка пишется NRZ, у второго NRZI, но оба прекрасно друг друга понимают.

И вот тут ещё один момент требующий пояснения и который ввел меня просто в тупик smile.gif .
Мы использовали фреймовый режим и задавали IDLE код во все тайм-слоты кроме нулевого, тут обнаружился прикол, из поведения сигнала похоже он данные через каждый фрейм инвертирует, это вполне возможно для того, чтобы более корректно было отлавливание фрейма, не изменяя фреймовый тайм-слот через фрейм инвертировать все остальные (мы такую фишку уже используем, чтобы с похожим протоколом на E1 передавать большее кол-во тайм-слотов, не реализуя E2 или E3).
НИГДЕ в документации об этом даже намека нет, причем два разных производителя спокойно друг друга понимают и PING проходит. Это значит, что информация наверняка в нулевом слоте. Какой бит за это отвечает? Дайте ссылку, где взять информацию? А возможно и не в бите дело, а он обязательно ищет Мультикадровую синхронизацию (Сверх цикловая) и там смотрит четный/нечетный, чтобы поставить инверсию?
Причем это мы проверяли как в NRZ(I) так и в AMI, поведение одинаково, кодировка физического уровня не причем.
cdg
Цитата
Погодите, не усложняем ли мы что-то?
Поясните, если передается NRZ, то можем ли мы передать через выходной трансформатор длинную последовательность единиц? Или же на самом деле там используется код RZ, а не NRZ?
Может я что-то не правильно понимаю? Разъясните smile.gif.
help.gif


Никто ничего не усложняет smile.gif. Делал этот проект очень давно, лет 5 тому назад, рабирался по схме БГ стойки, старой засаленой и затертой... которая не дожила до наших дней, проект был еще в MAXPLUS сейчас под рукой нет, надо искать гдето в архивах(???). При передаче данных используется упрощенный вид скремблирования - разностное кодирование (XOR текущего бита с предыдущим), которое позволяет избежать длинной последовательности нулей и единиц, синхрокомбинация в сремблировании не участвует. Отличие кодировок в том, что в одном случае производится принудительная установка начала отсчета скремблирующей последовательности в 1, т.е. последний бит предыдущего фрейма для начала следующего всегда принимается равным 1. Вот и все.

Цитата
И вот тут ещё один момент требующий пояснения и который ввел меня просто в тупик smile.gif .
Мы использовали фреймовый режим и задавали IDLE код во все тайм-слоты кроме нулевого, тут обнаружился прикол, из поведения сигнала похоже он данные через каждый фрейм инвертирует, это вполне возможно для того, чтобы более корректно было отлавливание фрейма, не изменяя фреймовый тайм-слот через фрейм инвертировать все остальные (мы такую фишку уже используем, чтобы с похожим протоколом на E1 передавать большее кол-во тайм-слотов, не реализуя E2 или E3).
НИГДЕ в документации об этом даже намека нет, причем два разных производителя спокойно друг друга понимают и PING проходит. Это значит, что информация наверняка в нулевом слоте. Какой бит за это отвечает? Дайте ссылку, где взять информацию? А возможно и не в бите дело, а он обязательно ищет Мультикадровую синхронизацию (Сверх цикловая) и там смотрит четный/нечетный, чтобы поставить инверсию?
Причем это мы проверяли как в NRZ(I) так и в AMI, поведение одинаково, кодировка физического уровня не причем.


Могу точно сказать, что никаких таких наворотов не делал и не встречал, могу сказать только если станция гонит в TS фиксированный код, то надо извращаться чтобы встречная сторона правильно от тебя засинхронизировалась, вот тут простор для фантазии просто необъятный.
MAZZI
Цитата(cdg @ Aug 30 2006, 09:51) *
Никто ничего не усложняет smile.gif. Делал этот проект очень давно, лет 5 тому назад, рабирался по схме БГ стойки, старой засаленой и затертой... которая не дожила до наших дней, проект был еще в MAXPLUS сейчас под рукой нет, надо искать гдето в архивах(???).

Если можешь, то дай пожалуйста архив smile.gif, самое идеальное, если ты его ещё в письменном виде дополнишь пояснениями, если не сложно.
Цитата
При передаче данных используется упрощенный вид скремблирования - разностное кодирование (XOR текущего бита с предыдущим), которое позволяет избежать длинной последовательности нулей и единиц, синхрокомбинация в сремблировании не участвует.

Вот картинка с NRZ и NRZI, из выше описанного я понял что это код NRZI
http://www.intuit.ru/department/network/baslocnet/3/3-17.gif
Цитата
Отличие кодировок в том, что в одном случае производится принудительная установка начала отсчета скремблирующей последовательности в 1, т.е. последний бит предыдущего фрейма для начала следующего всегда принимается равным 1. Вот и все.

Вот это совсем тяжело!!! Это и есть NRZ+ ??? И что будет если передача идет без фрейма? Куда мне эту 1 засунуть? smile.gif Умоляю нарисуйте временную диаграмму с пояснениями где, что, куда!!!
Цитата
Могу точно сказать, что никаких таких наворотов не делал и не встречал, могу сказать только если станция гонит в TS фиксированный код, то надо извращаться чтобы встречная сторона правильно от тебя засинхронизировалась, вот тут простор для фантазии просто необъятный.

Согласен, возможно это наворот, но он проявляется да анализаторе, когда просматриваем линию и вполне логичен для фреймовой синхронизации, иначе если будет фиксированный код в тайм-слоте (а это вполне вероятно, к примеру, флаги HDLC) можно будет только на более высоком уровне определить ошибку, а это не есть хорошо!!! Да и по поиску/потери фрейма (110) четыре подряд поймал/четыре подряд потерял такие ложные ловли фреймов будут всегда...
Вообще раздражает такой стандарт, мало того что нигде не описан, ещё в NRZ(I), если передавать длинные последовательности нулей умирает выходной трансформатор при передачи длинного положительного импульса (проверяли в AMI нормально, в NRZ(I) умер), прям сырой дальше некуда, не зря не получил распространения, но сделать то его надо...
Я ещё не говорил с начальством, но кто готов продать стандарт? мож у кого есть?
help.gif
cdg
Цитата
Вот это совсем тяжело!!! Это и есть NRZ+ ??? И что будет если передача идет без фрейма? Куда мне эту 1 засунуть? smile.gif Умоляю нарисуйте временную диаграмму с пояснениями где, что, куда!!!

Могу сказать, что будет если этой 1-цы не будет - отвалится 1-ый канальный интервал, остальные будут работать, таковы самосинхронизирующиеся свойства этого кода
Цитата
Согласен, возможно это наворот, но он проявляется да анализаторе, когда просматриваем линию и вполне логичен для фреймовой синхронизации, иначе если будет фиксированный код в тайм-слоте (а это вполне вероятно, к примеру, флаги HDLC) можно будет только на более высоком уровне определить ошибку, а это не есть хорошо!!! Да и по поиску/потери фрейма (110) четыре подряд поймал/четыре подряд потерял такие ложные ловли фреймов будут всегда...

Поэтому я и писал, что простор для фантазии необъятный, когда проектировали аппаратуру ИКМ-15 не думали передавать там HDLC - расчет велся на передачу оцифрованной голосовой информации, от туда и все проблемы с синхронизацией. Если Вы хотите безболезненно передавать HDLC используя чужие каналы ИКМ-15 придется придумать механизм избавляющий от ситуации ловли ложного ЦСС, вариантов море smile.gif.
Цитата
Вообще раздражает такой стандарт

Это вобще не стандарт... Мне не удалось добиться правды жизни и найти хоть каие нибудь ОСТы или РД, на которые бы ссылались сертификаты полученные различными производителями, в том числе и аппаратуры Борисоглебского завода, собственно эту тему и открывал для того, чтобы подсказали хоть что-то (:.
Цитата
Я ещё не говорил с начальством, но кто готов продать стандарт? мож у кого есть?

Купить несуществующий стандарт затея сомнительная... Вот купить разработку надежнее smile.gif
Да и зачем это Вам, найдите схему на БГ полустойку, неужели в округе нет ни одного узла эл. связи с этим барахлом???
cdg
Цитата
Вот это совсем тяжело!!! Это и есть NRZ+ ??? И что будет если передача идет без фрейма? Куда мне эту 1 засунуть? smile.gif Умоляю нарисуйте временную диаграмму с пояснениями где, что, куда!!!

Если у Вас безфреймовая передача, какая разница как кодировать? Сделайте свое скремблирование - какое Вам заблагорассудится, и живите спокойно, через регенератор это все равно пройдет.
MAZZI
4 cdq
ты, кстати, не ответил по поводу рисунка и NRZI.
ещё скажи ты с AMI свое устройство проверял? а то такое чувство, что ты сам это додумал по поводу единицы на последнем бите фрейма перед следующей передачей, в силу того что не было описания...
и по поводу какая разница, то разница есть, ведь необходимо добиться совместимости с другими устройствами, а не только с нашими, поэтому и следует работать по общим правилам.
MAZZI
Что ещё мы тут выяснили опытным путем: smile.gif
Вначале данные заходят на так называемый мини-скремблер (то что уже называли XOR, он то и делал сигнал похожим на NRZI), и после идут на кодировку AMI или NRZ. Поэтому и получилось у меня что данные якобы идут с инверсией через фрейм (это в том режиме, когда не вставляется единица перед фреймом, если вставляется, то кодировка была бы более менее одинакова). Не кодируется по XOR сам синхросигнал, т.к. его видно отчетливо везде одинаково.
Теперь следующий вопрос, другие биты в нулевом тайм-слоте кодируются по XOR: мультифреймовая, сигнализация, резерв (кстати что туда вставлять, единицу?) ?
И ещё заодно подскажите чем заполнять биты сигнализации, если она не используется и мы полностью генерим нулевой тайм-слот?
И если фреймовая метка не кодируется, то первый бит первого таймслота по XOR какое состояние берет, то что было перед фреймовой меткой или какое-то фиксированное число?
cdg
[quote]
ты, кстати, не ответил по поводу рисунка и NRZI.
[quote]
Нет у меня рисунка и рисовать нет желания, потому что не представляю как это можно нарисовать и что Вы пусть даже из картины 5-и фреймов получите - по мне так пустая трата времени.
[quote]
ещё скажи ты с AMI свое устройство проверял?
[/quote]
Все работает сколько лет, никто не жалуется и в AMI и в HDB3 и в NRZ и в NRZ+ оборудование PROTON-SSS www.proton-sss.ru smile.gif
[quote]
а то такое чувство, что ты сам это додумал по поводу единицы на последнем бите фрейма перед следующей передачей, в силу того что не было описания...
[/quote]
"Кто не верит, пусть проверит" так говорил наш математик в институте, давая какую либо теорему без доказательства. Я проверил в свое время smile.gif.
[quote]
и по поводу какая разница, то разница есть, ведь необходимо добиться совместимости с другими устройствами, а не только с нашими, поэтому и следует работать по общим правилам.
[/quote]
Вот тут Вы уже сами себе противоречите, какая совместимость при работе без фрейма? По поводу проблем ЦСС я уже Вам писал.
MAZZI
4 cdg:
С прошлыми вопросами уже окончено, догадался как происходит.

Знатоки, помогите лучше со следующими моментами:
Код
1) Другие биты в нулевом тайм-слоте кодируются по XOR (мультифреймовая, сигнализация, резерв) ?

2) И ещё заодно подскажите чем заполнять биты сигнализации и резервный бит, если она не используется и мы полностью генерим нулевой тайм-слот, есть какое-либо правило?

3) И если фреймовая метка не кодируется, то первый бит первого таймслота по XOR какое состояние берет, то что было перед фреймовой меткой или какое-то фиксированное число?
cdg
Цитата
Теперь следующий вопрос, другие биты в нулевом тайм-слоте кодируются по XOR: мультифреймовая, сигнализация, резерв (кстати что туда вставлять, единицу?)?

Кодируются.
По поводу назначения бит в 0-м КИ: разное предназначение битов аварийной сигнализации и резерва у разных типов оборудования, именно этот вопрос я и поднимал при создании настоящей темы.
Цитата
И ещё заодно подскажите чем заполнять биты сигнализации, если она не используется и мы полностью генерим нулевой тайм-слот?

Могу сказать, что в старом оборудовании в основном используется сигнализация 1-ВСК, посмотрите в Гольдштейне пассивное(исходное) состояние ВСК.
Цитата
И если фреймовая метка не кодируется, то первый бит первого таймслота по XOR какое состояние берет, то что было перед фреймовой меткой или какое-то фиксированное число?

Фреймовая метка вставляется после кодирования, а на ее месте гонятся нули
Kazap
От себя добавлю, что помимо XORскремблирования, выходной сигнал подается на D-триггер, у которого инверсный выход соединен со входом, а сигнал данных подается на CLK(см. схему ячейки РПР)
cdg
Цитата(Kazap @ Aug 31 2006, 11:25) *
От себя добавлю, что помимо XORскремблирования, выходной сигнал подается на D-триггер, у которого инверсный выход соединен со входом, а сигнал данных подается на CLK(см. схему ячейки РПР)

Вот и выложили бы человеку схему РПР ячейки, а то куда он смотреть будет?
MAZZI
Во, какие мы все вместе молодцы!!! smile.gif
Заодно и ответили на третий вопрос:
Цитата
Фреймовая метка вставляется после кодирования, а на ее месте гонятся нули

А это значит, пока идет фреймовая метка (110), мы храним предыдущее значение кода до неё, я ведь правильно понял? smile.gif
cdg
Цитата
А это значит, пока идет фреймовая метка (110), мы храним предыдущее значение кода до неё

Можно и так сказать
Kazap
Цитата(cdg @ Aug 31 2006, 12:33) *
Цитата(Kazap @ Aug 31 2006, 11:25) *

От себя добавлю, что помимо XORскремблирования, выходной сигнал подается на D-триггер, у которого инверсный выход соединен со входом, а сигнал данных подается на CLK(см. схему ячейки РПР)

Вот и выложили бы человеку схему РПР ячейки, а то куда он смотреть будет?

К сожалению, вся документация только в бумажном виде, а сканер сдох sad.gif
Смысл этого узла таков, что 1 в линию передается изменением состояния выходного триггера,
0- без изменений
biohumanoid
Цитата(MAZZI @ Aug 28 2006, 15:15) *
Где правда жизни, Братья? smile.gif
если можно пришлите на drozdov@zelax.ru

Правда жизни тут smile.gif http://parabel.ru/products/elf2-rc/
OLD_SHURiK
Цитата(cdg @ Aug 31 2006, 09:46) *
Цитата

Теперь следующий вопрос, другие биты в нулевом тайм-слоте кодируются по XOR: мультифреймовая, сигнализация, резерв (кстати что туда вставлять, единицу?)?

Кодируются.
По поводу назначения бит в 0-м КИ: разное предназначение битов аварийной сигнализации и резерва у разных типов оборудования, именно этот вопрос я и поднимал при создании настоящей темы.
Цитата
И ещё заодно подскажите чем заполнять биты сигнализации, если она не используется и мы полностью генерим нулевой тайм-слот?

Могу сказать, что в старом оборудовании в основном используется сигнализация 1-ВСК, посмотрите в Гольдштейне пассивное(исходное) состояние ВСК.
Цитата
И если фреймовая метка не кодируется, то первый бит первого таймслота по XOR какое состояние берет, то что было перед фреймовой меткой или какое-то фиксированное число?

Фреймовая метка вставляется после кодирования, а на ее месте гонятся нули


К вопросу по битикам в КИ0 для цикла 0:

битики * "ИВА" * "КЕДР"
0(1) * сверхцикловой маркер * сверхцикловой маркер
1(2) * ошибка "цикловой" синхронизации * ошибка "цикловой" синхронизации
2(3) * повышенный коэфицент ошибок * повышенный коэфицент ошибок
3(4) * "1" * ошибка "сверхцикловой" синхронизации
4(5) * цифровой канал или "1" * цифровой канал или "1"
5(6) * "1" * "1" -это маркер
6(7) * "1" * "1" -это маркер
7(8) * "0" * "0" -это маркер

извиняйте нет табуляции поэтому разделяем *
битик 0(1) выдаётся первый !!!
Кодируется всё кроме маркера это биты 5(6), 6(7), 7(8) !!!
есть разные ячейки РПР которые запоминаю состояние кодера перед маркером и которые не запомирают. Поэтому нужно две управляемые реализации....(как напорешся на производителя).Ещё есть глююк с 6 и 13 каналом в некоторых системах они перепутаны..!!!
Если есть вопросы спрашивайте. Проекты ИКМ15 работают давно и успешно..

Цитата(cdg @ Aug 31 2006, 09:46) *
Цитата

Теперь следующий вопрос, другие биты в нулевом тайм-слоте кодируются по XOR: мультифреймовая, сигнализация, резерв (кстати что туда вставлять, единицу?)?

Кодируются.
По поводу назначения бит в 0-м КИ: разное предназначение битов аварийной сигнализации и резерва у разных типов оборудования, именно этот вопрос я и поднимал при создании настоящей темы.
Цитата
И ещё заодно подскажите чем заполнять биты сигнализации, если она не используется и мы полностью генерим нулевой тайм-слот?

Могу сказать, что в старом оборудовании в основном используется сигнализация 1-ВСК, посмотрите в Гольдштейне пассивное(исходное) состояние ВСК.
Цитата
И если фреймовая метка не кодируется, то первый бит первого таймслота по XOR какое состояние берет, то что было перед фреймовой меткой или какое-то фиксированное число?

Фреймовая метка вставляется после кодирования, а на ее месте гонятся нули


К вопросу по битикам в КИ0 для цикла 0:

битики * "ИВА" * "КЕДР"
0(1) * сверхцикловой маркер * сверхцикловой маркер
1(2) * ошибка "цикловой" синхронизации * ошибка "цикловой" синхронизации
2(3) * повышенный коэфицент ошибок * повышенный коэфицент ошибок
3(4) * "1" * ошибка "сверхцикловой" синхронизации
4(5) * цифровой канал или "1" * цифровой канал или "1"
5(6) * "1" * "1" -это маркер
6(7) * "1" * "1" -это маркер
7(8) * "0" * "0" -это маркер

извиняйте нет табуляции поэтому разделяем *
битик 0(1) выдаётся первый !!!
Кодируется всё кроме маркера это биты 5(6), 6(7), 7(8) !!!
есть разные ячейки РПР которые запоминаю состояние кодера перед маркером и которые не запомирают. Поэтому нужно две управляемые реализации....(как напорешся на производителя).Ещё есть глююк с 6 и 13 каналом в некоторых системах они перепутаны..!!!
Если есть вопросы спрашивайте. Проекты ИКМ15 работают давно и успешно..
scelko
Цитата(OLD_SHURiK @ Sep 15 2006, 11:26) *
1(2) * ошибка "цикловой" синхронизации * ошибка "цикловой" синхронизации


мне кажется это не совсем верно и в КЕДРЕ, и в ИВЕ второй бит это сумма ошибок:

цикла, сверхцикла, нет сигнала и Кош = 10-3 - для ИВЫ
цикла, нет сигнала и Кош = 10-3 - для КЕДРА (т.к. авария сверхцикла передается отдельно)
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.