я уже реализовал передачу. UDP->IP->MAC (все буферизировано) отлажено. правда для 100 magabit.
но само коре работает бёрстом, так что хоть 1000 мегабит...все зависит от частоты клока...
на вход подаете кадр RTP под энейблом. на выходе получаете байтовый поток для MAC.
Код
entity udp_ip_framer is
port (
Data_in: in std_logic_vector(7 downto 0);
Data_in_en: in std_logic;
IP_src_in : in std_logic_vector(31 downto 0);
IP_dst_in : in std_logic_vector(31 downto 0);
Src_port_in : in std_logic_vector(15 downto 0);
Dst_port_in : in std_logic_vector(15 downto 0);
Length_in : in std_logic_vector(11 downto 0);
Pkt_in_new_en : in std_logic;
Data_out: out std_logic_vector(7 downto 0);
Data_out_val: out std_logic;
Data_Length_out: out std_logic_vector(11 downto 0);
Data_Length_out_val: out std_logic;
clk : in STD_LOGIC;
reset : in STD_LOGIC
);
end entity;
PS заыбл сказать. код для альтеры, использую корки fifo.