Цитата
вообще сильно на тайминги смахивает, точно все законстрейнили
Тайминг аналайзер квартуса ни выдает ничего плохого. после PLL у меня тактовая получается 96, максимальная по тайминг аналайзеру - 108, в разделе multiconer timing alalyser summary все норм. Кроме того, если процессора все таки загрузиться, я его гонял больше суток по два раза - ни одной ошибки в рассчетах (там криптографию он считает, ошибки бы сразу вылезли если что)
Цитата(vadimuzzz @ Mar 31 2010, 11:46)

но сама ПЛИС сконфигурилась? если flash-programmer`ом в ниос потыкать - проц ему виден?
Да. проц видет, system_id получает
Цитата(Stewart Little @ Mar 31 2010, 11:53)

Тайминги, тайминги и еще раз тайминги.
Это еще не означает, что проект будет функционировать правильно. Зато это может означать, что у Вас не заданы необходимые констрейны.
Как в законах Мерфи - "Если Вам кажется, что Ваш проект работает - значит Вы чего-то не заметили"

Мой файл SDC:
Код
create_clock -name input_clk -period 15.151 [get_ports board_clk]
create_clock -name usb_clk -period 20833 [get_ports usb_clk]
create_generated_clock -source usb_clk \
-multiply_by 2 \
-divide_by 1 \
-name pll_clk pll:GLOBAL_PLL|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0]
Цитата(Stewart Little @ Mar 31 2010, 11:53)

Еще вопрос как Вы используете PLL - как компонент в SOPC Builder'е или "снаружи" ниосовской системы?
"Снаружи" nios системы
Цитата(vadimuzzz @ Mar 31 2010, 10:54)

я с PLL делал так: ALTCLKCTRL не ставил, PLL "locked" заводил на reset процессора (полярность только правильную сделать). на 120МГц заводил, без сбоев.
вопрос про полярность выходв PLL "locked" и NIOS_CPU "reset": для того чтобы ввести процессор в Reset : Reset <= '0'; чтобы вывести из ресета - Reset <= '1';
если PLL залочена то locked в '0' Если разлочена - то в '1'.
Соответствено чтобы ресетнуть процессор когда pll теряет lock надо: reset <= not lock. Все правильно?