Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Вопрос по HyperLynx
Форум разработчиков электроники ELECTRONIX.ru > Печатные платы (PCB) > Разрабатываем ПП в САПР - PCB development
Страницы: 1, 2
_Anatoliy
Вчера наконец то скачал и установил эту программу(BoardSim v8.0),скомпилил файл *.hyp ,подключил IBIS модели своих микросхем и привожу результат симуляции.
Т.к. я анализирую сигнал идущий от АЦП ADS54RF63 к Virtex-5 то полученные фронты меня поставили в ступор(10нс , а тактовая частота 550МГц будет).Похоже я что-то не так сделал помогите , плз, разобраться.Если что не ясно - задавайте вопросы.
Эх,не туда запостил...Только сейчас заметил подфорум по Ментору.
Кстати,три нижние строчки недоступны.Это нормально?Как их активировать?
Uree
А Вы впишите нужные параметры, а не то, что там по дефолту вписано. А вписано там 10МГц, а не нужные 550МГц. ПОтому и длительность сигнала такая и фронты такие красивые.

ЗЫ А вообще такие вещи лучше моделировать в режиме Eye Diagram - настроили маску, задали длину последовательности и пусть считает. Тогда сразу и увидите, укладывается на вашей плате сигнал в "окно" или нет. Причем для шины лучше сразу для всей считать.
_Anatoliy
Цитата(Uree @ Apr 2 2010, 13:09) *
А Вы впишите нужные параметры, а не то, что там по дефолту вписано. А вписано там 10МГц, а не нужные 550МГц. ПОтому и длительность сигнала такая и фронты такие красивые.

ЗЫ А вообще такие вещи лучше моделировать в режиме Eye Diagram - настроили маску, задали длину последовательности и пусть считает. Тогда сразу и увидите, укладывается на вашей плате сигнал в "окно" или нет. Причем для шины лучше сразу для всей считать.

Спасибо!
Дык если 500МГц подать то сигнал совсем заваливается,я и поставил 10,чтоб хоть амплитуду сохранить(я же только осваиваю).
fill
Цитата(_Anatoliy @ Apr 2 2010, 14:25) *
Вчера наконец то скачал и установил эту программу(BoardSim v8.0),скомпилил файл *.hyp ,подключил IBIS модели своих микросхем и привожу результат симуляции.
Т.к. я анализирую сигнал идущий от АЦП ADS54RF63 к Virtex-5 то полученные фронты меня поставили в ступор(10нс , а тактовая частота 550МГц будет).Похоже я что-то не так сделал помогите , плз, разобраться.Если что не ясно - задавайте вопросы.
Эх,не туда запостил...Только сейчас заметил подфорум по Ментору.
Кстати,три нижние строчки недоступны.Это нормально?Как их активировать?


1. Судя по стр.36 "The LVDS outputs all require an external 100-Ω load between each output pair in order to meet the expected LVDS voltage levels. For long trace lengths, it may be necessary to place a 100-Ω load on each digital output as close to the ADC as possible and another 100-Ω differential load at the end of the LVDS transmission line to provide matched impedance and avoid signal reflections".
Например получаем:
Нажмите для просмотра прикрепленного файлаНажмите для просмотра прикрепленного файла
2. Собственно т.к HL касается всех, поэтому непонятно в какой из подфорумов его назначить. Так что оставим сообщение здесь.
3. В данном релизе эти опции доступны только для избранных ментором пользователей - нужна спец. лицензия.
_Anatoliy
Цитата(fill @ Apr 2 2010, 13:29) *
1. Судя по стр.36 "The LVDS outputs all require an external 100-Ω load between each output pair in order to meet the expected LVDS
voltage levels. For long trace lengths, it may be necessary to place a 100-Ω load on each digital output as close
to the ADC as possible and another 100-Ω differential load at the end of the LVDS transmission line to provide
matched impedance and avoid signal reflections.
Например получаем:
2. Собственно т.к HL касается всех, поэтому непонятно в какой из подфорумов его назначить. Так что оставим сообщение здесь.
3. В данном релизе эти опции доступны только для избранных ментором пользователей - нужна спец. лицензия.

1).Да, у Вас фронты нормальные,это Вы в LineSim симулировали.А я использовал уже разведённую плату(*.pcb).
2). Какие конкретно опции Вы имеете ввиду?
3). После регистрации у вас на сайте уже 2 дня жду допуска к тренингам,может этих вопросов и не было бы.
4). Поставил терминаторы,действительно фронт улучшился, но вот передо мной схема kit от TI для этого АЦП - никаких терминаторов нет,и так всё работает.Это как?
Uree
А что у Вас в качестве приемника стоит и откуда к нему ИБИС-модель? Если какая-нибудь FPGA, то в ней надо выбрать соответствующий случаю драйвер и если это описано в модели, то внутренний терминатор там уже будет. А в использованной Вами модели его похоже нет.
_Anatoliy
Цитата(Uree @ Apr 2 2010, 16:43) *
А что у Вас в качестве приемника стоит и откуда к нему ИБИС-модель? Если какая-нибудь FPGA, то в ней надо выбрать соответствующий случаю драйвер и если это описано в модели, то внутренний терминатор там уже будет. А в использованной Вами модели его похоже нет.

Спасибо!
В качестве приёмника Virtex-5 и похоже что терминатора всётаки нет.Но ведь я модель сегодня скачал с сайта Xilinx. 07.gif Где же ещё брать модели как не у производителя?
Uree
Кроме наличия правильного файла нужно еще и правильно выбрать тип используемого драйвера. Возможные варианты видны в списке Signal. Выбирайте нужный и тогда уже смотрите на сигналы.
fill
Цитата(_Anatoliy @ Apr 2 2010, 17:49) *
1).Да, у Вас фронты нормальные,это Вы в LineSim симулировали.А я использовал уже разведённую плату(*.pcb).
2). Какие конкретно опции Вы имеете ввиду?
3). После регистрации у вас на сайте уже 2 дня жду допуска к тренингам,может этих вопросов и не было бы.
4). Поставил терминаторы,действительно фронт улучшился, но вот передо мной схема kit от TI для этого АЦП - никаких терминаторов нет,и так всё работает.Это как?


1. Если нет в модели то можете добавить виртуально в топологии Нажмите для просмотра прикрепленного файла
2. Те на которые нельзя поставить галочку Нажмите для просмотра прикрепленного файла
3. Человек выполняющий данные функции находится в командировке
4. Задайте вопрос саппорту TI.
_Anatoliy
Спасибо,скачал тренинги,разбираюсь.
_Anatoliy
Опять возвращаюсь к этой теме.
Коллеги,что собой представляет HyperLynx v8.0? Это какая-то усечённая версия что ли?
У меня почему-то не работает terminator wizard с последовательным согласованием.
Если это так,то какую версию нужно искать?
Yuri Potapoff
Как вариант: может начать искать легальную версию?
_Anatoliy
Цитата(Yuri Potapoff @ Jun 15 2010, 12:16) *
Как вариант: может начать искать легальную версию?


В смысле купить?Не, у нас такую версию оплачивать никто не будет.
cioma
"Спокойствие, только спокойствие" (С)
HL v8.0 - полный, просто termination wizard не умеет работать с дифпарами.
Кстати, эти последовательные резисторы вызывают вопрос "зачем"?
_Anatoliy
Цитата(cioma @ Jun 15 2010, 18:46) *
"Спокойствие, только спокойствие" (С)
HL v8.0 - полный, просто termination wizard не умеет работать с дифпарами.
Кстати, эти последовательные резисторы вызывают вопрос "зачем"?


Спасибо, успокоили!
1).Я только осваиваю программу и хочу её "пощупать" в разных режимах.
2).Если я правильно понимаю отчёт визарда, то выходное сопротивление драйвера 31 ом , а волновое сопротивление дифпары 507 ом. Я так понимаю,мне нужно выполнить согласование импедансов.
3).Теперь о BoardSim.В порядке эксперимента в файле .pcb я увеличил растояние между линиями дифпары в два раза,а визард всё равно выдаёт Z0 = 507 ом. Разве это правильно?Насколько можно ему доверять?
Uree
Не могу придумать размеры пары для такого импеданса... Вы там случайно не 2-слойку моделируете?
_Anatoliy
Цитата(Uree @ Jun 16 2010, 09:07) *
Не могу придумать размеры пары для такого импеданса... Вы там случайно не 2-слойку моделируете?


Не нужно ничего придумывать.Мне вобще-то нужно получить сопротивление пары 100ом,вот и стал играть размерами,посмотреть как они влияют.А оказалось что увеличение расстояния между линиями дифпары в 2 раза никак не влияет на волновое сопротивление - это насторожило.
9 слоёв у платы.
Uree
Ваши 9 слоев не считаются - в них нет ни одного плэйн слоя. А все расчеты делаются относительно плэйна. Вот и получается полная ерунда...
_Anatoliy
Цитата(Uree @ Jun 16 2010, 11:45) *
Ваши 9 слоев не считаются - в них нет ни одного плэйн слоя. А все расчеты делаются относительно плэйна. Вот и получается полная ерунда...

Да,спасибо,лоханулся.
Только добавил плэйн - сразу получил Z0 = 90 ом,почти то что нужно.

Только увеличение расстояния между линиями дифпары в 2 раза опять никак не влияет на волновое сопротивление sad.gif
Uree
Так не бываетsmile.gif Ищите еще ошибкиsmile.gif
cioma
Раньше в HL был классный туториал, да и лабы по нему тут кто-то выкладывал
Если их пройти - сразу всё станет понятней
fill
Цитата(cioma @ Jun 15 2010, 21:46) *
"Спокойствие, только спокойствие" (С)
HL v8.0 - полный, просто termination wizard не умеет работать с дифпарами.
Кстати, эти последовательные резисторы вызывают вопрос "зачем"?


На самом деле он работает с диф. парами согласно данной теории Нажмите для просмотра прикрепленного файла
и для показанной на рисунке конфигурации цепи расчитывает Rdiff.
Т.е. удалите последовательные сопротивления и Мастер Согласования сразу начнет предлагать решения.
AlexN
Цитата(fill @ Jun 18 2010, 16:33) *
На самом деле он работает с диф. парами согласно данной теории Нажмите для просмотра прикрепленного файла
и для показанной на рисунке конфигурации цепи расчитывает Rdiff.
Т.е. удалите последовательные сопротивления и Мастер Согласования сразу начнет предлагать решения.


такое ощущение, что идет работа по новому тренингу?
fill
Цитата(AlexN @ Jun 18 2010, 13:45) *
такое ощущение, что идет работа по новому тренингу?


Лекции готовы Нажмите для просмотра прикрепленного файла
vugluskr
Вопрос
1) загнал плату в HL
2) настроил стек
3) назначил модели
4) сделал stimulus (name 200MHz)
5) назначил этот stimulus 200MHz нужным цепям (в шине)

теперь хочу оценить разброс по времени приходящих на нагрузку сигналов, какова методика? Смотреть в osciloscope каждый сигнал и записывать на бумажке? Или есть что-то более продвинутое?
Спасибо.
vugluskr
У меня похоже глубокое непонимание работы HL. Ниже приведена глазковая диаграмма. Цепь связывает ФПГА и ДДР, физический интерфейс SSTL_18, симуляция реальной дорожки на разведенной печатной плате. Зеленый цвет - передатчик, красный приемник.



Не понимаю как работает гиперлинкс. Далее привожу свои размышления.
Итак, в момент 0, передатчик генерирует псевдослучайную последовательность. Насколько я понимаю, на приемник последовательности приходят с задержкой примерно в 490ps. Как мне понять это нормальный сигнал или нет из этой диаграммы. Понятно, что по уровням нормальный, но вот сдвижка левой границы маски мне не нравится.
fill
Цитата(vugluskr @ Aug 4 2010, 12:54) *
Вопрос
1) загнал плату в HL
2) настроил стек
3) назначил модели
4) сделал stimulus (name 200MHz)
5) назначил этот stimulus 200MHz нужным цепям (в шине)

теперь хочу оценить разброс по времени приходящих на нагрузку сигналов, какова методика? Смотреть в osciloscope каждый сигнал и записывать на бумажке? Или есть что-то более продвинутое?
Спасибо.


Сохраните результаты в файлы (кнопка Save\Load).
Через HyperLynx Analog можно вызвать EzWave в котором загрузить\просмотреть\измерить сколько угодно сигналов.

Цитата(vugluskr @ Aug 4 2010, 17:10) *
У меня похоже глубокое непонимание работы HL. Ниже приведена глазковая диаграмма. Цепь связывает ФПГА и ДДР, физический интерфейс SSTL_18, симуляция реальной дорожки на разведенной печатной плате. Зеленый цвет - передатчик, красный приемник.


Не понимаю как работает гиперлинкс. Далее привожу свои размышления.
Итак, в момент 0, передатчик генерирует псевдослучайную последовательность. Насколько я понимаю, на приемник последовательности приходят с задержкой примерно в 490ps. Как мне понять это нормальный сигнал или нет из этой диаграммы. Понятно, что по уровням нормальный, но вот сдвижка левой границы маски мне не нравится.


Важен вид глазка (параметры высота-ширина).
Возьмите и передвиньте мышкой маску вправо.
_Anatoliy
Цитата(fill @ Aug 5 2010, 10:32) *

А не подскажете ли,уважаемый fill,как заставить HL рассчитывать дифференциальные сопротивление пар?Как не искал везде только z0 выводится,а в хелпе прямо сказано:
Цитата
It should be emphasized that whenever you are working with a two-trace coupling region, LineSim and BoardSim calculate the differential and common-mode impedances automatically for you, so you should never need to make these calculations manually.
_Anatoliy
Цитата(fill @ Aug 5 2010, 13:43) *

ага,спасибо!
Это я ещё не включал.
vugluskr
Цитата(fill @ Aug 5 2010, 11:32) *
Сохраните результаты в файлы (кнопка Save\Load).
Через HyperLynx Analog можно вызвать EzWave в котором загрузить\просмотреть\измерить сколько угодно сигналов.



Важен вид глазка (параметры высота-ширина).
Возьмите и передвиньте мышкой маску вправо.

Благодарю за ответ - буду пробовать

Цитата(fill @ Aug 5 2010, 11:32) *
Через HyperLynx Analog можно вызвать EzWave в котором загрузить\просмотреть\измерить сколько угодно сигналов.


Не подскажите ли что такое HyperLynx Analog, это подпрограмма или некая опция или режим?
vugluskr
Цитата(fill @ Aug 5 2010, 11:32) *
Сохраните результаты в файлы (кнопка Save\Load).
Через HyperLynx Analog можно вызвать EzWave в котором загрузить\просмотреть\измерить сколько угодно сигналов.



Важен вид глазка (параметры высота-ширина).
Возьмите и передвиньте мышкой маску вправо.


Разобрался - здорово, очень удобно и все понятно!

Вот какая проблема еще встала. Плата сделана Аллегро (ниже снапшот из аллегро):


При конвертации в HL, что-то странное происходит с трамбонами (снапшот из HL ниже):



Как это победить? Есть ли возможность исправить это в самом HL или необходимо применить некоторую предварительную конвертацию?

Спасибо
fill
Цитата(vugluskr @ Aug 6 2010, 09:41) *
Разобрался - здорово, очень удобно и все понятно!

Вот какая проблема еще встала. Плата сделана Аллегро (ниже снапшот из аллегро):

При конвертации в HL, что-то странное происходит с трамбонами (снапшот из HL ниже):

Как это победить? Есть ли возможность исправить это в самом HL или необходимо применить некоторую предварительную конвертацию?

Спасибо


Проблема наверняка происходит при конвертации.
Что делать для исправления сказать однозначно нельзя, надо иметь исходный проект для поиска вариантов решения - настройки конвертора (например единицы измерения при которых происходит округление координат), поиск обходного варианта (при чтении из Expedition я такого ни разу не видел) ...
vugluskr
Цитата(fill @ Aug 6 2010, 10:05) *
Проблема наверняка происходит при конвертации.
Что делать для исправления сказать однозначно нельзя, надо иметь исходный проект для поиска вариантов решения - настройки конвертора (например единицы измерения при которых происходит округление координат), поиск обходного варианта (при чтении из Expedition я такого ни разу не видел) ...


Как вариант, я рассматривал, попробовать загнать плату в Expedition. А вот по поводу параметров конвертации в HL увидел только это окно:


каких-то серьезных опций настройки (в GUI режиме) не обнаружилось sad.gif
Я просто открываю плату, нажатием "PCB" и выбором нужного расширения файла. Правда стек неправильно переносится и приходится править в ручную (это не проблема). А вот, что делать с тромбонами, и как ведет себя HL при расчетах? Он же получается в результате конвертирования дорожки закорачивает.
fill
Цитата(vugluskr @ Aug 6 2010, 11:26) *
Как вариант, я рассматривал, попробовать загнать плату в Expedition. А вот по поводу параметров конвертации в HL увидел только это окно:

каких-то серьезных опций настройки (в GUI режиме) не обнаружилось sad.gif
Я просто открываю плату, нажатием "PCB" и выбором нужного расширения файла. Правда стек неправильно переносится и приходится править в ручную (это не проблема). А вот, что делать с тромбонами, и как ведет себя HL при расчетах? Он же получается в результате конвертирования дорожки закорачивает.


1. Способов несколько Нажмите для просмотра прикрепленного файла
2. Естественно, если полученный файл содержит искажения информации о дорожках, то моделировать его бесполезно.
3. Кстати, если у вас HL8.0 то переключите единицы в Allegro на inch или mil перед трансляцией.

Цитата
1. Before translating the board design to HyperLynx, set the user display units to inches in Allegro 16.0 or to mils in Allegro 16.2 and later. In Allegro 16.0, there are two different display unit settings; the cross-section and the User Preferences (usually accessible by right-mouse click pop-up menu). Both of these options must be set to inches. In Allegro 16.2 and later, the option is only available at Right-Mouse-Button > Quick Utilities > Design Parameters... > Design tab, User Units field.
2. Save the .BRD file.
3. Run the translation to HyperLynx.

This problem is scheduled to be fixed in version 8.1 of HyperLynx.


vugluskr
Цитата(fill @ Aug 6 2010, 10:56) *
1. Способов несколько Нажмите для просмотра прикрепленного файла
2. Естественно, если полученный файл содержит искажения информации о дорожках, то моделировать его бесполезно.
3. Кстати, если у вас HL8.0 то переключите единицы в Allegro на inch или mil перед трансляцией.

Вы знаете, что-то не помогло - все значения выставлены в Mils (Allegro 16.3) - всеравно трамбоны искажены sad.gif
fill
Цитата(vugluskr @ Aug 6 2010, 14:09) *
Вы знаете, что-то не помогло - все значения выставлены в Mils (Allegro 16.3) - всеравно трамбоны искажены sad.gif


Из Release Notes 8.1 :
исправлено
DR0100588906 - Allegro translator creating wrong/backward arcs.
DR0100583702 - Layer stackup comes to HL from Allegro completely wrong.
DR0100589810 - stackup translates wrongly if allegro display units are not inches.
DR0100598788 - Import from Allegro does not use dielectric constant, resistivity, and loss tangent from stackup.
DR0100629020 - Allegro PCB design converted to Hyperlynx doesn't rotate rotated pads.
vugluskr
Цитата(fill @ Aug 6 2010, 13:57) *
Из Release Notes 8.1 :
исправлено
DR0100588906 - Allegro translator creating wrong/backward arcs.
DR0100583702 - Layer stackup comes to HL from Allegro completely wrong.
DR0100589810 - stackup translates wrongly if allegro display units are not inches.
DR0100598788 - Import from Allegro does not use dielectric constant, resistivity, and loss tangent from stackup.
DR0100629020 - Allegro PCB design converted to Hyperlynx doesn't rotate rotated pads.


Спасибо!
fill
Цитата(vugluskr @ Aug 5 2010, 22:48) *
Не подскажите ли что такое HyperLynx Analog, это подпрограмма или некая опция или режим?


Режим работы в схемном редакторе DxDesigner.
Setup>Settings секция Licensing, после включения галочки HyperLynx Analog появится доп. набор меню и иконок для аналогового моделирования, в том числе нужная вам иконка Waveform Viewer.
vugluskr
благодарю за ответ, fill.

По поводу HL8.1 Исчезла проблема конвертирования трамбонов. Но почему то некоторые резисторы, повернутые на n*45 градусов отобразились вот так:


что, как мне кажется, и породило при попытке симуляции в bach режиме следующую ошибку:

** Warning(Severe) ** Could not analyze SI; DC operating points not valid; check model thresholds


Как быть?

Заметил вот что. элементы 0603 HL транслирует нормально и повернутые на кратный 45 градусом угол и не повернутые. А вот элементы 0402 нормально транслируются только не повернутые элементы. Может где-нибудь нужно точность в настройках HL поменять?

вот простенький проект, где используются только элементы 0402. Как видите те, что повернуты - жутко искажены:

fill
Как видите в случае Expedition все в норме. Т.к. в описании никаких доп. ключей для трансляции нет, то остается только вариант ждать исправления конвертора или передать обходным путем.
vugluskr
Цитата(fill @ Aug 11 2010, 17:00) *
Как видите в случае Expedition все в норме. Т.к. в описании никаких доп. ключей для трансляции нет, то остается только вариант ждать исправления конвертора или передать обходным путем.


Cпасибо за наглядный пример. Я уже итак понял, что придется конвертировать в EE (там жуть конечно со skill инструкцией). Ну лучше раз пройти путь конвертации в EE чем постоянно ждать патчей для конвертации Allegro
vugluskr
Продолжая тему HL8.1
Что значит вот эта ошибка в BACH MODE : ** Warning(Severe) ** Could not analyze SI; DC operating points not valid; check model thresholds?
fill
Цитата(vugluskr @ Aug 12 2010, 14:56) *
Продолжая тему HL8.1
Что значит вот эта ошибка в BACH MODE : ** Warning(Severe) ** Could not analyze SI; DC operating points not valid; check model thresholds?


Попробуйте заменить проблемную модель на другую. Если это поможет, то разбирайтесь с правильностью данных в модели (система подсказывает проверить пороги).

If batch simulation reports “Could not analyze SI; DC operating points not valid; check model thresholds”, note that one possible source of the error is that a required model threshold is contained only in the [Model Spec] keyword. While DDRx batch simulation supports portions of this keyword, generic batch simulation does not.
vugluskr
Цитата(fill @ Aug 12 2010, 14:52) *
Попробуйте заменить проблемную модель на другую. Если это поможет, то разбирайтесь с правильностью данных в модели (система подсказывает проверить пороги).

If batch simulation reports “Could not analyze SI; DC operating points not valid; check model thresholds”, note that one possible source of the error is that a required model threshold is contained only in the [Model Spec] keyword. While DDRx batch simulation supports portions of this keyword, generic batch simulation does not.


что меня смущает в этой ситуации. В режиме симуляции osciloscope все работает, а вот в bach mode выскакивает эта ошибка. Из этого я делаю заключение, что HL использует разные методы работы в этих режимах....
fill
Цитата(vugluskr @ Aug 12 2010, 16:03) *
что меня смущает в этой ситуации. В режиме симуляции osciloscope все работает, а вот в bach mode выскакивает эта ошибка. Из этого я делаю заключение, что HL использует разные методы работы в этих режимах....


Если там двунаправленные пины, то естественно отличие существенное - пакетный перебирает все возможные варианты + он же должен проанализировать результат и выдать список нарушений, а интерактиве вы сами анализируете получившуюся диаграмму.

Кстати проблемные площадки в проекте можете исправить. Откройте *.hyp в тестовом редакторе и измените соответсвующие padstack.
vugluskr
Цитата(fill @ Aug 12 2010, 15:13) *
Если там двунаправленные пины, то естественно отличие существенное - пакетный перебирает все возможные варианты + он же должен проанализировать результат и выдать список нарушений, а интерактиве вы сами анализируете получившуюся диаграмму.

Кстати проблемные площадки в проекте можете исправить. Откройте *.hyp в тестовом редакторе и измените соответсвующие padstack.


Хотя пришлось изменить поворот компонентов, чтобы просимулировать в HL. Но ради интереса и на будущее полезно понять как это сделать. Вот открыл hyp, нашел нужны компонент, не могу понять что именно нужно изменить. в какой из секций.

нашел упоминание нужного падстека (_SQ062) в нескольких секциях:
1) описание самого пада
{PADSTACK=_SQ062_55.100000_23.350000_TOP_0.620_0.620
(TOP, 1, 0.062000, 0.062000, 0)

2) как понимаю это на конкретном пине конкретного элемента

(PIN X=3.205000 Y=2.590000 R=C23.2 P=_SQ062_55.100000_23.350000_TOP_0.620_0.620)


Не подскажите, где в этой записи задается угол поворота (как я понимаю именно пада)

Можно по другому вот простенький проект

в нем используются повернутые 0402 корпуса
вот hyp
Код
* Source System : Cadence Allegro
* Translator    : algbrd2hyp:8.1.47
* PI Mode       : Fast/approximate Mode

{VERSION=2.01 algbrd2hyp: Cadence Allegro to HyperLynx Translator V:8.1.47
}
{DATA_MODE=SIMPLIFIED
}
{UNITS=METRIC  LENGTH
}
{BOARD
  (PERIMETER_SEGMENT X1=0.000000 Y1=0.000000 X2=0.000000 Y2=4.600000)
  (PERIMETER_SEGMENT X1=0.000000 Y1=4.600000 X2=10.000000 Y2=4.600000)
  (PERIMETER_SEGMENT X1=10.000000 Y1=4.600000 X2=10.000000 Y2=0.000000)
  (PERIMETER_SEGMENT X1=10.000000 Y1=0.000000 X2=0.000000 Y2=0.000000)
}
{STACKUP
  (SIGNAL T=0.001800 M=COPPER L=TOP)
  (DIELECTRIC T=0.030000 C=4.800000 LT=0.035000 M=FR-4 L=DIE_002)
  (PLANE T=0.003500 M=COPPER L=GND)
  (DIELECTRIC T=0.030000 C=4.800000 LT=0.035000 M=FR-4 L=DIE_004)
  (PLANE T=0.003500 M=COPPER L=PWR)
  (DIELECTRIC T=0.030000 C=4.800000 LT=0.035000 M=FR-4 L=DIE_006)
  (SIGNAL T=0.001800 M=COPPER L=BOTTOM)
}
{DEVICES
  (? REF=R5 VAL=510R NAME=RES-10K-0603-5%_0402R_510R_5% L=TOP)
  (? REF=R4 VAL=10k-0603 NAME=RES-10K-0603-5%_0402R_10K-0603_ L=TOP)
  (? REF=R3 VAL=220K NAME=RES-220K-0603-1%_0402R_220K_1% L=TOP)
  (? REF=R2 VAL=68K NAME=RES-68K-0603-1%_0402R_68K_1% L=TOP)
  (? REF=R1 VAL=10K NAME=RES-10K-0603-5%_0402R_10K_5% L=TOP)
}
{PADSTACK=_SQ062_15.000000_35.550000_TOP_0.620_0.620
  (TOP, 1, 0.062000, 0.062000, 0)
}
{PADSTACK=_SQ062_19.318200_36.681800_TOP_0.877_0.877
  (TOP, 1, 0.087680, 0.087680, 0)
}
{net=N16799757
  (PIN X=1.500000 Y=3.555000 R=R1.1 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
}
{net=5V
  (PIN X=1.500000 Y=3.645000 R=R1.2 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
  (PIN X=1.868180 Y=3.731820 R=R5.1 P=_SQ062_19.318200_36.681800_TOP_0.877_0.877)
}
{net=1V0
  (PIN X=1.655000 Y=3.200000 R=R2.1 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
}
{net=N16798620
  (PIN X=1.745000 Y=3.200000 R=R2.2 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
  (PIN X=2.068180 Y=3.268180 R=R3.1 P=_SQ062_21.318200_36.681800_TOP_0.877_0.877)
}
{net=GND_POWER
  (PIN X=2.131820 Y=3.331820 R=R3.2 P=_SQ062_19.318200_36.681800_TOP_0.877_0.877)
  (PIN X=2.431820 Y=3.568180 R=R4.2 P=_SQ062_19.318200_36.681800_TOP_0.877_0.877)
}
{net=N16798608
  (PIN X=2.368180 Y=3.631820 R=R4.1 P=_SQ062_19.318200_36.681800_TOP_0.877_0.877)
}
{net=N16814807
  (PIN X=1.931820 Y=3.668180 R=R5.2 P=_SQ062_19.318200_36.681800_TOP_0.877_0.877)
}
{END
}


для примера, возьмем резистор R3, в каком месте нужно изменить hyp, чтобы отображение было верным?

произведя следующие изменения в hyp
Код
* Source System : Cadence Allegro
* Translator    : algbrd2hyp:8.1.47
* PI Mode       : Fast/approximate Mode

{VERSION=2.01 algbrd2hyp: Cadence Allegro to HyperLynx Translator V:8.1.47
}
{DATA_MODE=SIMPLIFIED
}
{UNITS=METRIC  LENGTH
}
{BOARD
  (PERIMETER_SEGMENT X1=0.000000 Y1=0.000000 X2=0.000000 Y2=4.600000)
  (PERIMETER_SEGMENT X1=0.000000 Y1=4.600000 X2=10.000000 Y2=4.600000)
  (PERIMETER_SEGMENT X1=10.000000 Y1=4.600000 X2=10.000000 Y2=0.000000)
  (PERIMETER_SEGMENT X1=10.000000 Y1=0.000000 X2=0.000000 Y2=0.000000)
}
{STACKUP
  (SIGNAL T=0.001800 M=COPPER L=TOP)
  (DIELECTRIC T=0.030000 C=4.800000 LT=0.035000 M=FR-4 L=DIE_002)
  (PLANE T=0.003500 M=COPPER L=GND)
  (DIELECTRIC T=0.030000 C=4.800000 LT=0.035000 M=FR-4 L=DIE_004)
  (PLANE T=0.003500 M=COPPER L=PWR)
  (DIELECTRIC T=0.030000 C=4.800000 LT=0.035000 M=FR-4 L=DIE_006)
  (SIGNAL T=0.001800 M=COPPER L=BOTTOM)
}
{DEVICES
  (? REF=R5 VAL=510R NAME=RES-10K-0603-5%_0402R_510R_5% L=TOP)
  (? REF=R4 VAL=10k-0603 NAME=RES-10K-0603-5%_0402R_10K-0603_ L=TOP)
  (? REF=R3 VAL=220K NAME=RES-220K-0603-1%_0402R_220K_1% L=TOP)
  (? REF=R2 VAL=68K NAME=RES-68K-0603-1%_0402R_68K_1% L=TOP)
  (? REF=R1 VAL=10K NAME=RES-10K-0603-5%_0402R_10K_5% L=TOP)
}
{PADSTACK=_SQ062_15.000000_35.550000_TOP_0.620_0.620
  (TOP, 1, 0.062000, 0.062000, 0)
}
{PADSTACK=_SQ062_19.318200_36.681800_TOP_0.620_0.620
  (TOP, 1, 0.062000, 0.062000, 0)
}
{net=N16799757
  (PIN X=1.500000 Y=3.555000 R=R1.1 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
}
{net=5V
  (PIN X=1.500000 Y=3.645000 R=R1.2 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
  (PIN X=1.868180 Y=3.731820 R=R5.1 P=_SQ062_19.318200_36.681800_TOP_0.620_0.620)
}
{net=1V0
  (PIN X=1.655000 Y=3.200000 R=R2.1 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
}
{net=N16798620
  (PIN X=1.745000 Y=3.200000 R=R2.2 P=_SQ062_15.000000_35.550000_TOP_0.620_0.620)
  (PIN X=2.068180 Y=3.268180 R=R3.1 P=_SQ062_19.318200_36.681800_TOP_0.620_0.620)
}
{net=GND_POWER
  (PIN X=2.131820 Y=3.331820 R=R3.2 P=_SQ062_19.318200_36.681800_TOP_0.620_0.620)
  (PIN X=2.431820 Y=3.568180 R=R4.2 P=_SQ062_19.318200_36.681800_TOP_0.620_0.620)
}
{net=N16798608
  (PIN X=2.368180 Y=3.631820 R=R4.1 P=_SQ062_19.318200_36.681800_TOP_0.620_0.620)
}
{net=N16814807
  (PIN X=1.931820 Y=3.668180 R=R5.2 P=_SQ062_19.318200_36.681800_TOP_0.620_0.620)
}
{END
}



удалось добиться отсутствия искажений пада




НО как его теперь повернуть на 45 градусов?
fill
Нажмите для просмотра прикрепленного файла
удалите расширение pdf.
vugluskr
Цитата(fill @ Aug 12 2010, 16:29) *


Cпасибо - методику запишу в блокнот!

Для наглядности привожу скриншот сравнения файлов. Изменения показывают, что необходимо сделать чтобы пады были повернуты на 45 градусов



Возвращаясь к BACH MODE : ** Warning(Severe) ** Could not analyze SI; DC operating points not valid; check model thresholds?

в проекте я проверяю связку микропроцессора фирмы Atmel и DDR2 фирмы Micron


Модель памяти DDR2 фирмы Micron
Код
|***************************************************************************
|MODEL DQHALF_533 (Reduced-Drv IO Drvr with no ODT, 400/533)  
|***************************************************************************
|
[Model]        DQHALF_533
Model_type     I/O
|
Vinl = 650.000mV
Vinh = 1.150V
Vmeas = 900.000mV
Vref = 900.000mV
Cref = 0.0pF
Rref = 25.000Ohm
|
|                            typ                 min                 max
|
C_comp                      2.65pF              2.50pF              2.80pF
|
[Model Spec]
| Input threshold voltage corners
Vinl                        0.650V              0.600V              0.700V
Vinh                        1.150V              1.100V              1.200V
|
| Measurement voltage corners
Vmeas                       0.900V              0.850V              0.950V
|
| Timing spec test load voltage corners
Vref                        0.900V              0.850V              0.950V
|
[Receiver Thresholds]
Vth      =  0.900V
Vth_min  =  0.882V
Vth_max  =  0.918V
Vinh_ac  =  0.250V
Vinh_dc  =  0.125V
Vinl_ac  = -0.250V
Vinl_dc  = -0.125V
Tslew_ac =  5.000ns |Not specified, so set to high value
Threshold_sensitivity = 0.50
Reference_supply Pullup_ref
|
[Voltage Range]             1.800V              1.700V              1.900V
[Pullup Reference]          1.800V              1.700V              1.900V
| Junction Temperature (Case temp is 25C typ, 95C min, -40C max)
[Temperature Range]         40.0                110.0             -40.0
|
|***************************************************************************


Модель фирмы Atmel:
Код
[Model] ss18t33b66_1v8_hd
| config_pins {sup sdn} 00
Model_type I/O
Polarity       Non-Inverting
Enable        Active-Low
Vinl =   0.8000V
Vinh =   2.0000V
Vmeas =  0.0V
C_comp    5.0000pF          5.0000pF            5.0000pF
|  
|  
[Temperature Range]   25.0000          -40.0000            125.0000
[Voltage Range]        1.8000V           1.6000V             1.9500V
[Pulldown]
|Voltage   I(typ)              I(min)              I(max)
|  
  -1.80    -61.0000mA        -37.0000mA          -82.0000mA
  -1.74    -60.0000mA        -36.0000mA          -82.0000mA
  -1.68    -60.0000mA        -36.0000mA          -82.0000mA
  -1.62    -60.0000mA        -35.0000mA          -82.0000mA
  -1.56    -60.0000mA        -35.0000mA          -82.0000mA
  -1.50    -59.0000mA        -35.0000mA          -82.0000mA
  -1.44    -58.0000mA        -34.0000mA          -82.0000mA
  -1.38    -58.0000mA        -33.0000mA          -81.0000mA
  -1.32    -57.0000mA        -33.0000mA          -81.0000mA
  -1.26    -56.0000mA        -32.4000mA          -80.1000mA
  -1.20    -54.9000mA        -31.7000mA          -79.1000mA
  -1.14    -53.8000mA        -30.9000mA          -77.9000mA
  -1.08    -52.5000mA        -30.1000mA          -76.6000mA
  -1.02    -51.2000mA        -29.3000mA          -74.9000mA
  -0.96    -49.6000mA        -28.3000mA          -73.5400mA
  -0.90    -48.0600mA        -27.2000mA          -71.6000mA
  -0.84    -46.4400mA        -26.0000mA          -69.2400mA
  -0.78    -44.4600mA        -24.8300mA          -66.6600mA
  -0.72    -42.2900mA        -23.5900mA          -63.8200mA
  -0.66    -39.9100mA        -22.1590mA          -60.6700mA
  -0.60    -37.2740mA        -20.5870mA          -57.1260mA
  -0.54    -34.3460mA        -18.8630mA          -53.1160mA
  -0.48    -31.0500mA        -16.9700mA          -48.5020mA
  -0.42    -27.4104mA        -14.9137mA          -43.2196mA
  -0.36    -23.5555mA        -12.7271mA          -37.4986mA
  -0.30    -19.6257mA        -10.4956mA          -31.5902mA
  -0.24    -15.6879mA        -8.2894mA           -25.5589mA
  -0.18    -11.7498mA        -6.1285mA           -19.3999mA
  -0.12    -7.8290mA         -4.0237mA           -13.1000mA
  -0.06    -3.9120mA         -1.9800mA           -6.6460mA
  0.00    0.0A              0.0A                0.0A
  0.06    3.7440mA          1.8700mA            6.4280mA
  0.12    7.1690mA          3.5910mA            12.2400mA
  0.18    10.2900mA         5.1690mA            17.5000mA
  0.24    13.1300mA         6.6070mA            22.2200mA
  0.30    15.7000mA         7.9110mA            26.4600mA
  0.36    18.0000mA         9.0850mA            30.2500mA
  0.42    20.0600mA         10.1300mA           33.6100mA
  0.48    21.8900mA         11.0600mA           36.5800mA
  0.54    23.5000mA         11.8700mA           39.1600mA
  0.60    24.8900mA         12.5600mA           41.3700mA
  0.66    26.0700mA         13.1400mA           43.2100mA
  0.72    27.0500mA         13.6200mA           44.6400mA
  0.78    27.8300mA         14.0000mA           45.6600mA
  0.84    28.4200mA         14.2900mA           46.3300mA
  0.90    28.8400mA         14.5100mA           46.7800mA
  0.96    29.1500mA         14.6800mA           47.1000mA
  1.02    29.3900mA         14.8200mA           47.3500mA
  1.08    29.5800mA         14.9300mA           47.5400mA
  1.14    29.7400mA         15.0300mA           47.7000mA
  1.20    29.8800mA         15.1200mA           47.8400mA
  1.26    29.9900mA         15.1900mA           47.9600mA
  1.32    30.1000mA         15.2600mA           48.0700mA
  1.38    30.1900mA         15.3300mA           48.1600mA
  1.44    30.2800mA         15.3900mA           48.2500mA
  1.50    30.3600mA         15.4400mA           48.3300mA
  1.56    30.4300mA         15.4900mA           48.4000mA
  1.62    30.5000mA         15.5400mA           48.4700mA
  1.68    30.5600mA         15.5900mA           48.5300mA
  1.74    30.6200mA         15.6297mA           48.5900mA
  1.80    30.6800mA         15.6681mA           48.6500mA
  1.86    30.7300mA         15.7089mA           48.7000mA
  1.92    30.7800mA         15.7440mA           48.7500mA
  1.98    30.8299mA         15.7832mA           48.8000mA
  2.04    30.8791mA         15.8140mA           48.8400mA
  2.10    30.9212mA         15.8500mA           48.8900mA
  2.16    30.9604mA         15.8860mA           48.9299mA
  2.22    31.0098mA         15.9120mA           48.9689mA
  2.28    31.0480mA         15.9400mA           49.0174mA
  2.34    31.0860mA         15.9700mA           49.0478mA
  2.40    31.1270mA         16.0000mA           49.0868mA
  2.46    31.1570mA         16.0000mA           49.1310mA
  2.52    31.1940mA         16.0000mA           49.1680mA
  2.58    31.2300mA         16.1000mA           49.2020mA
  2.64    31.2700mA         16.1000mA           49.2300mA
  2.70    31.2900mA         16.2000mA           49.2800mA
  2.76    31.3000mA         16.2000mA           49.3100mA
  2.82    31.4000mA         16.2000mA           49.3400mA
  2.88    31.4000mA         16.3000mA           49.3800mA
  2.94    31.5000mA         16.3000mA           49.5000mA
  3.00    31.5000mA         16.3000mA           49.5000mA
  3.06    31.6000mA         16.3000mA           49.5000mA
  3.12    31.6000mA         16.4000mA           49.6000mA
  3.18    31.7000mA         16.4000mA           50.0000mA
  3.24    31.7000mA         16.4000mA           50.0000mA
  3.30    31.8000mA         16.4000mA           50.0000mA
  3.36    31.8000mA         16.5000mA           50.0000mA
  3.42    31.9000mA         16.5000mA           50.0000mA
  3.48    31.9000mA         16.5000mA           50.0000mA
  3.54    31.9000mA         16.5000mA           50.0000mA
  3.60    32.0000mA         16.5000mA           50.0000mA
|  
[Pullup]
|Voltage   I(typ)              I(min)              I(max)
|  
  -1.80    49.0000mA         32.0000mA           70.0000mA
  -1.74    48.0000mA         31.0000mA           70.0000mA
  -1.68    48.0000mA         30.0000mA           69.0000mA
  -1.62    47.0000mA         30.0000mA           68.0000mA
  -1.56    46.0000mA         29.0000mA           66.0000mA
  -1.50    44.0000mA         27.0000mA           66.0000mA
  -1.44    44.0000mA         27.0000mA           64.0000mA
  -1.38    43.0000mA         26.0000mA           63.0000mA
  -1.32    42.0000mA         26.0000mA           62.0000mA
  -1.26    41.0000mA         26.0000mA           60.0000mA
  -1.20    40.0000mA         24.0000mA           60.0000mA
  -1.14    38.9000mA         24.0000mA           58.3000mA
  -1.08    37.8000mA         23.1000mA           56.8000mA
  -1.02    36.8000mA         22.3000mA           55.4000mA
  -0.96    35.6000mA         21.6000mA           54.1000mA
  -0.90    34.6900mA         21.0000mA           52.6100mA
  -0.84    33.8100mA         20.5000mA           51.1200mA
  -0.78    32.5200mA         19.7800mA           49.1000mA
  -0.72    30.6840mA         18.7200mA           46.3600mA
  -0.66    28.5570mA         17.4150mA           43.2220mA
  -0.60    26.2570mA         16.0100mA           39.8220mA
  -0.54    23.7960mA         14.5090mA           36.1580mA
  -0.48    21.1680mA         12.9300mA           32.2260mA
  -0.42    18.3698mA         11.2534mA           28.0082mA
  -0.36    15.4704mA         9.4912mA            23.6333mA
  -0.30    12.5912mA         7.7147mA            19.3260mA
  -0.24    9.8301mA          5.9917mA            15.1497mA
  -0.18    7.1849mA          4.3520mA            11.1300mA
  -0.12    4.6640mA          2.8059mA            7.2670mA
  -0.06    2.2690mA          1.3540mA            3.5560mA
  0.00    -0.6000pA         0.0A                -0.3400pA
  0.06    -2.1360mA         -1.2600mA           -3.3770mA
  0.12    -4.1320mA         -2.4270mA           -6.5550mA
  0.18    -5.9890mA         -3.5030mA           -9.5320mA
  0.24    -7.7070mA         -4.4860mA           -12.3100mA
  0.30    -9.2850mA         -5.3770mA           -14.8900mA
  0.36    -10.7200mA        -6.1750mA           -17.2700mA
  0.42    -12.0200mA        -6.8800mA           -19.4500mA
  0.48    -13.1800mA        -7.4930mA           -21.4200mA
  0.54    -14.2000mA        -8.0140mA           -23.2100mA
  0.60    -15.0900mA        -8.4460mA           -24.7800mA
  0.66    -15.8300mA        -8.7910mA           -26.1600mA
  0.72    -16.4500mA        -9.0620mA           -27.3300mA
  0.78    -16.9600mA        -9.2720mA           -28.3100mA
  0.84    -17.3600mA        -9.4400mA           -29.1000mA
  0.90    -17.7000mA        -9.5800mA           -29.7500mA
  0.96    -17.9800mA        -9.6990mA           -30.2800mA
  1.02    -18.2200mA        -9.8030mA           -30.7400mA
  1.08    -18.4300mA        -9.8960mA           -31.1300mA
  1.14    -18.6100mA        -9.9800mA           -31.4700mA
  1.20    -18.7800mA        -10.0600mA          -31.7800mA
  1.26    -18.9300mA        -10.1300mA          -32.0600mA
  1.32    -19.0700mA        -10.1900mA          -32.3100mA
  1.38    -19.2000mA        -10.2500mA          -32.5400mA
  1.44    -19.3200mA        -10.3100mA          -32.7500mA
  1.50    -19.4300mA        -10.3600mA          -32.9400mA
  1.56    -19.5300mA        -10.4100mA          -33.1300mA
  1.62    -19.6300mA        -10.4600mA          -33.3000mA
  1.68    -19.7200mA        -10.5099mA          -33.4600mA
  1.74    -19.8100mA        -10.5495mA          -33.6200mA
  1.80    -19.9000mA        -10.5874mA          -33.7600mA
  1.86    -19.9700mA        -10.6271mA          -33.9000mA
  1.92    -20.0500mA        -10.6650mA          -34.0300mA
  1.98    -20.1198mA        -10.7094mA          -34.1500mA
  2.04    -20.1879mA        -10.7418mA          -34.2700mA
  2.10    -20.2557mA        -10.7710mA          -34.3900mA
  2.16    -20.3255mA        -10.8100mA          -34.4997mA
  2.22    -20.3804mA        -10.8340mA          -34.6065mA
  2.28    -20.4400mA        -10.8710mA          -34.7049mA
  2.34    -20.5060mA        -10.8900mA          -34.8029mA
  2.40    -20.5540mA        -10.8900mA          -34.9006mA
  2.46    -20.6100mA        -10.9000mA          -34.9930mA
  2.52    -20.6600mA        -11.0000mA          -35.0880mA
  2.58    -20.7200mA        -11.0000mA          -35.1740mA
  2.64    -20.7600mA        -11.0000mA          -35.2500mA
  2.70    -20.8100mA        -11.0000mA          -35.3300mA
  2.76    -20.8500mA        -11.1000mA          -35.4200mA
  2.82    -20.9000mA        -11.1000mA          -35.4900mA
  2.88    -20.9000mA        -11.1000mA          -35.5700mA
  2.94    -21.0000mA        -11.1000mA          -35.6000mA
  3.00    -21.0000mA        -11.2000mA          -35.7000mA
  3.06    -21.1000mA        -11.2000mA          -35.7000mA
  3.12    -21.1000mA        -11.2000mA          -35.8000mA
  3.18    -21.1000mA        -11.2000mA          -36.0000mA
  3.24    -21.1000mA        -11.3000mA          -36.0000mA
  3.30    -21.1000mA        -11.3000mA          -36.0000mA
  3.36    -21.1000mA        -11.3000mA          -36.0000mA
  3.42    -21.1000mA        -11.3000mA          -36.0000mA
  3.48    -21.1000mA        -11.3000mA          -36.0000mA
  3.54    -21.1000mA        -11.4000mA          -36.0000mA
  3.60    -21.1000mA        -11.4000mA          -36.0000mA
|  
[GND_clamp]
|Voltage   I(typ)              I(min)              I(max)
|  
  -1.80    -2.1580A          -1.8420A            -2.5270A
  -1.76    -2.0640A          -1.7720A            -2.4070A
  -1.72    -1.9710A          -1.7020A            -2.2870A
  -1.68    -1.8770A          -1.6320A            -2.1680A
  -1.64    -1.7830A          -1.5620A            -2.0480A
  -1.60    -1.6890A          -1.4910A            -1.9280A
  -1.56    -1.5950A          -1.4210A            -1.8080A
  -1.52    -1.5010A          -1.3510A            -1.6890A
  -1.48    -1.4080A          -1.2810A            -1.5690A
  -1.44    -1.3140A          -1.2110A            -1.4490A
  -1.40    -1.2200A          -1.1410A            -1.3290A
  -1.36    -1.1260A          -1.0710A            -1.2100A
  -1.32    -1.0330A          -1.0010A            -1.0900A
  -1.28    -0.9393A          -0.9312A            -0.9708A
  -1.24    -0.8457A          -0.8612A            -0.8511A
  -1.20    -0.7520A          -0.7912A            -0.7314A
  -1.16    -0.6584A          -0.7212A            -0.6118A
  -1.12    -0.5648A          -0.6513A            -0.4922A
  -1.08    -0.4713A          -0.5814A            -0.3726A
  -1.04    -0.3778A          -0.5116A            -0.2531A
  -1.00    -0.2843A          -0.4417A            -0.1338A
  -0.96    -0.1909A          -0.3719A            -56.1600mA
  -0.92    -98.1100mA        -0.3021A            -39.5800mA
  -0.88    -41.0100mA        -0.2321A            -33.8100mA
  -0.84    -24.7300mA        -0.1620A            -29.3200mA
  -0.80    -18.4400mA        -92.1100mA          -24.9300mA
  -0.76    -14.6700mA        -38.5800mA          -20.7400mA
  -0.72    -11.7000mA        -17.9600mA          -16.8300mA
  -0.68    -9.1210mA         -9.8890mA           -13.2400mA
  -0.64    -6.8550mA         -6.2130mA           -10.0100mA
  -0.60    -4.8960mA         -4.1630mA           -7.1540mA
  -0.56    -3.2620mA         -2.8000mA           -4.7280mA
  -0.52    -1.9770mA         -1.8100mA           -2.7890mA
  -0.48    -1.0600mA         -1.0900mA           -1.3980mA
  -0.44    -0.4934mA         -0.5982mA           -0.5722mA
  -0.40    -0.2012mA         -0.2961mA           -0.1957mA
  -0.36    -74.4900uA        -0.1329mA           -61.3900uA
  -0.32    -25.4500uA        -54.9700uA          -18.4600uA
  -0.28    -7.8060uA         -21.2200uA          -4.9880uA
  -0.24    -2.0800uA         -7.6480uA           -1.0910uA
  -0.20    -0.4846uA         -2.5770uA           -0.1867uA
  -0.16    -0.1026uA         -0.8194uA           -26.7300nA
  -0.12    -20.5500nA        -0.2503uA           -3.5220nA
  -0.08    -4.0020nA         -74.3600nA          -0.4870nA
  -0.04    -0.7382nA         -19.7500nA          -0.1065nA
  0.00    -81.5600pA        -3.0820nA           -57.0400pA
  0.04    47.8800pA         1.7960nA            -49.0600pA
  0.08    72.0700pA         3.0990nA            -46.2200pA
  0.12    80.0500pA         3.3760nA            -43.9100pA
  0.16    86.0500pA         3.5220nA            -41.6700pA
  0.20    91.4100pA         3.6400nA            -39.4600pA
  0.24    96.4700pA         3.7460nA            -37.2600pA
  0.28    0.1013nA          3.8460nA            -35.0500pA
  0.32    0.1060nA          3.9410nA            -32.8800pA
  0.36    0.1106nA          4.0310nA            -30.7000pA
  0.40    0.1150nA          4.1190nA            -28.5600pA
  0.44    0.1194nA          4.2050nA            -26.4500pA
  0.48    0.1238nA          4.2890nA            -24.2600pA
  0.52    0.1279nA          4.3700nA            -22.1100pA
  0.56    0.1322nA          4.4510nA            -19.9400pA
  0.60    0.1364nA          4.5300nA            -17.7700pA
  0.64    0.1404nA          4.6080nA            -15.6000pA
  0.68    0.1446nA          4.6860nA            -13.4300pA
  0.72    0.1487nA          4.7610nA            -11.2700pA
  0.76    0.1527nA          4.8380nA            -9.1460pA
  0.80    0.1566nA          4.9130nA            -6.9980pA
  0.84    0.1609nA          4.9880nA            -4.8400pA
  0.88    0.1650nA          5.0630nA            -2.6560pA
  0.92    0.1690nA          5.1370nA            -0.5341pA
  0.96    0.1730nA          5.2120nA            1.6020pA
  1.00    0.1768nA          5.2870nA            3.7770pA
  1.04    0.1806nA          5.3610nA            5.9340pA
  1.08    0.1847nA          5.4370nA            8.0890pA
  1.12    0.1902nA          5.5120nA            10.1700pA
  1.16    0.1941nA          5.5880nA            12.3200pA
  1.20    0.1979nA          5.6640nA            14.4600pA
  1.24    0.2018nA          5.7420nA            16.6400pA
  1.28    0.2063nA          5.8220nA            18.7500pA
  1.32    0.2101nA          5.9030nA            20.9500pA
  1.36    0.2136nA          5.9850nA            23.0700pA
  1.40    0.2172nA          6.0710nA            25.2100pA
  1.44    0.2206nA          6.1660nA            27.3300pA
  1.48    0.2247nA          6.2760nA            29.4700pA
  1.52    0.2303nA          6.4460nA            31.6400pA
  1.56    0.2341nA          6.9450nA            33.7700pA
  1.60    0.2371nA          8.9210nA            35.9200pA
  1.64    0.2415nA          16.4100nA           38.0600pA
  1.68    0.2471nA          44.0100nA           40.1600pA
  1.72    0.2502nA          0.1477uA            42.2700pA
  1.76    0.2569nA          0.5309uA            44.3500pA
  1.80    0.2812nA          1.8750uA            46.4000pA
|  
[POWER_clamp]
|Voltage   I(typ)              I(min)              I(max)
|  
  -1.80    3.3230A           2.8520A             3.8840A
  -1.78    3.2500A           2.7980A             3.7900A
  -1.76    3.1770A           2.7430A             3.6970A
  -1.74    3.1040A           2.6880A             3.6040A
  -1.72    3.0310A           2.6340A             3.5100A
  -1.70    2.9580A           2.5790A             3.4170A
  -1.68    2.8850A           2.5240A             3.3240A
  -1.66    2.8120A           2.4700A             3.2310A
  -1.64    2.7390A           2.4150A             3.1370A
  -1.62    2.6660A           2.3600A             3.0440A
  -1.60    2.5930A           2.3060A             2.9510A
  -1.58    2.5200A           2.2510A             2.8580A
  -1.56    2.4470A           2.1960A             2.7650A
  -1.54    2.3750A           2.1420A             2.6710A
  -1.52    2.3020A           2.0870A             2.5780A
  -1.50    2.2290A           2.0330A             2.4850A
  -1.48    2.1560A           1.9780A             2.3920A
  -1.46    2.0830A           1.9230A             2.2990A
  -1.44    2.0100A           1.8690A             2.2060A
  -1.42    1.9370A           1.8140A             2.1120A
  -1.40    1.8640A           1.7590A             2.0190A
  -1.38    1.7910A           1.7050A             1.9260A
  -1.36    1.7190A           1.6500A             1.8330A
  -1.34    1.6460A           1.5960A             1.7400A
  -1.32    1.5730A           1.5410A             1.6470A
  -1.30    1.5000A           1.4870A             1.5540A
  -1.28    1.4270A           1.4320A             1.4610A
  -1.26    1.3540A           1.3770A             1.3680A
  -1.24    1.2820A           1.3230A             1.2740A
  -1.22    1.2090A           1.2680A             1.1810A
  -1.20    1.1360A           1.2140A             1.0880A
  -1.18    1.0630A           1.1590A             0.9958A
  -1.16    0.9909A           1.1050A             0.9028A
  -1.14    0.9181A           1.0500A             0.8098A
  -1.12    0.8454A           0.9962A             0.7168A
  -1.10    0.7726A           0.9416A             0.6239A
  -1.08    0.6999A           0.8871A             0.5309A
  -1.06    0.6271A           0.8326A             0.4380A
  -1.04    0.5544A           0.7782A             0.3451A
  -1.02    0.4817A           0.7237A             0.2521A
  -1.00    0.4090A           0.6692A             0.1608A
  -0.98    0.3364A           0.6147A             84.5800mA
  -0.96    0.2637A           0.5603A             49.8000mA
  -0.94    0.1913A           0.5058A             34.8300mA
  -0.92    0.1212A           0.4514A             28.1100mA
  -0.90    68.8100mA         0.3970A             24.8200mA
  -0.88    42.0800mA         0.3425A             22.9500mA
  -0.86    28.3400mA         0.2881A             21.6400mA
  -0.84    21.1000mA         0.2337A             20.5000mA
  -0.82    17.0600mA         0.1796A             19.3400mA
  -0.80    14.5700mA         0.1268A             18.0800mA
  -0.78    12.8300mA         80.0100mA           16.7400mA
  -0.76    11.4600mA         50.8100mA           15.3500mA
  -0.74    10.2900mA         33.0800mA           13.9500mA
  -0.72    9.2260mA          22.2300mA           12.5700mA
  -0.70    8.2360mA          15.5000mA           11.2200mA
  -0.68    7.3040mA          11.2400mA           9.9260mA
  -0.66    6.4230mA          8.4650mA            8.6880mA
  -0.64    5.5940mA          6.5870mA            7.5160mA
  -0.62    4.8160mA          5.2580mA            6.4180mA
  -0.60    4.0930mA          4.2700mA            5.3980mA
  -0.58    3.4250mA          3.5000mA            4.4590mA
  -0.56    2.8150mA          2.8740mA            3.6060mA
  -0.54    2.2640mA          2.3510mA            2.8420mA
  -0.52    1.7760mA          1.9040mA            2.1690mA
  -0.50    1.3510mA          1.5200mA            1.5920mA
  -0.48    0.9920mA          1.1900mA            1.1140mA
  -0.46    0.6987mA          0.9096mA            0.7356mA
  -0.44    0.4696mA          0.6760mA            0.4544mA
  -0.42    0.3002mA          0.4866mA            0.2618mA
  -0.40    0.1827mA          0.3383mA            0.1415mA
  -0.38    0.1063mA          0.2268mA            73.0600uA
  -0.36    59.5700uA         0.1468mA            36.6800uA
  -0.34    32.3900uA         91.9400uA           18.0800uA
  -0.32    17.1300uA         55.9900uA           8.7030uA
  -0.30    8.7870uA          33.3100uA           4.0210uA
  -0.28    4.3500uA          19.4300uA           1.7500uA
  -0.26    2.0700uA          11.1200uA           0.7096uA
  -0.24    0.9469uA          6.2540uA            0.2680uA
  -0.22    0.4183uA          3.4540uA            95.3000nA
  -0.20    0.1796uA          1.8750uA            32.4200nA
  -0.18    75.5500nA         1.0030uA            10.7300nA
  -0.16    31.3600nA         0.5309uA            3.5080nA
  -0.14    12.9700nA         0.2796uA            1.1620nA
  -0.12    5.4160nA          0.1477uA            0.4093nA
  -0.10    2.3440nA          79.2500nA           0.1690nA
  -0.08    1.1020nA          44.0100nA           94.2400pA
  -0.06    0.6021nA          25.8700nA           68.7900pA
  -0.04    0.3986nA          16.4100nA           61.4200pA
  -0.02    0.3150nA          11.4100nA           58.5800pA
  0.00    0.2812nA          8.9210nA            55.6800pA
|  
[Ramp]  
| variable       typ                 min                 max
dV/dt_r  0.5324/0.2789n      0.2977/0.4505n      0.7788/0.1763n
dV/dt_f  0.7324/0.2829n      0.4322/0.5167n      0.9419/0.1609n
R_load = 50.0000
|  
[Rising Waveform]
R_fixture= 50.0000
V_fixture= 0.0
V_fixture_min= 0.0
V_fixture_max= 0.0
|time     V(typ)              V(min)              V(max)
|  
0.0S              0.0V              0.0V                0.0V
50.51pS           0.0V              0.0V                0.0V
0.10nS            0.0V              0.0V                0.0V
0.15nS            0.0V              0.0V                0.0V
0.20nS            -12.3000nV        0.0V                -95.8500uV
0.25nS            -88.3900uV        0.0V                0.4446mV
0.30nS            -78.7900uV        -3.7960uV           0.6778mV
0.35nS            0.4921mV          -49.6000uV          -3.7750mV
0.40nS            0.8172mV          -57.2300uV          -10.7000mV
0.45nS            72.2100uV         -41.0900uV          -18.0500mV
0.51nS            -2.5440mV         -12.5700uV          -30.7700mV
0.56nS            -6.8100mV         21.0200uV           -50.1100mV
0.61nS            -10.9300mV        67.8200uV           -19.6800mV
0.66nS            -15.2200mV        0.1333mV            0.1257V
0.71nS            -20.7100mV        0.2107mV            0.3659V
0.76nS            -28.7700mV        0.2934mV            0.6292V
0.81nS            -36.7500mV        0.3676mV            0.8592V
0.86nS            -35.0100mV        0.4243mV            1.0290V
0.91nS            -9.6560mV         0.3958mV            1.1410V
0.96nS            51.4600mV         0.1415mV            1.2090V
1.01nS            0.1460V           -0.4640mV           1.2470V
1.06nS            0.2597V           -1.5330mV           1.2680V
1.11nS            0.3776V           -3.1140mV           1.2800V
1.16nS            0.4865V           -4.9670mV           1.2870V
1.21nS            0.5814V           -6.7750mV           1.2900V
1.26nS            0.6588V           -8.4370mV           1.2930V
1.31nS            0.7186V           -10.0200mV          1.2940V
1.36nS            0.7649V           -11.6100mV          1.2950V
1.41nS            0.7990V           -13.3300mV          1.2950V
1.46nS            0.8239V           -15.2200mV          1.2960V
1.52nS            0.8417V           -17.2900mV          1.2960V
1.57nS            0.8545V           -19.3400mV          1.2960V
1.62nS            0.8636V           -20.7400mV          1.2970V
1.67nS            0.8698V           -21.0200mV          1.2970V
1.72nS            0.8742V           -19.3900mV          1.2970V
1.77nS            0.8780V           -15.0000mV          1.2970V
1.82nS            0.8803V           -4.9940mV           1.2970V
1.87nS            0.8822V           10.8900mV           1.2970V
1.92nS            0.8835V           35.0500mV           1.2970V
1.97nS            0.8845V           65.8300mV           1.2970V
2.02nS            0.8852V           0.1016V             1.2970V
2.07nS            0.8856V           0.1406V             1.2970V
2.12nS            0.8860V           0.1808V             1.2970V
2.17nS            0.8862V           0.2204V             1.2970V
2.22nS            0.8864V           0.2582V             1.2970V
2.27nS            0.8865V           0.2937V             1.2970V
2.32nS            0.8866V           0.3253V             1.2970V
2.37nS            0.8867V           0.3529V             1.2970V
2.42nS            0.8868V           0.3766V             1.2980V
2.47nS            0.8868V           0.3981V             1.2980V
2.53nS            0.8869V           0.4229V             1.2980V
2.58nS            0.8869V           0.4427V             1.2980V
2.63nS            0.8869V           0.4528V             1.2980V
2.68nS            0.8870V           0.4610V             1.2980V
2.73nS            0.8870V           0.4683V             1.2980V
2.78nS            0.8870V           0.4733V             1.2980V
2.83nS            0.8870V           0.4782V             1.2980V
2.88nS            0.8870V           0.4814V             1.2980V
2.93nS            0.8870V           0.4840V             1.2980V
2.98nS            0.8871V           0.4864V             1.2980V
3.03nS            0.8871V           0.4880V             1.2980V
3.08nS            0.8871V           0.4897V             1.2980V
3.13nS            0.8871V           0.4910V             1.2980V
3.18nS            0.8871V           0.4919V             1.2980V
3.23nS            0.8871V           0.4929V             1.2980V
3.28nS            0.8871V           0.4934V             1.2980V
3.33nS            0.8871V           0.4939V             1.2980V
3.38nS            0.8872V           0.4945V             1.2980V
3.43nS            0.8872V           0.4947V             1.2980V
3.48nS            0.8872V           0.4950V             1.2980V
3.54nS            0.8872V           0.4952V             1.2980V
3.59nS            0.8872V           0.4954V             1.2980V
3.64nS            0.8872V           0.4955V             1.2980V
3.69nS            0.8872V           0.4956V             1.2980V
3.74nS            0.8872V           0.4957V             1.2980V
3.79nS            0.8872V           0.4958V             1.2980V
3.84nS            0.8872V           0.4958V             1.2980V
3.89nS            0.8872V           0.4959V             1.2980V
3.94nS            0.8872V           0.4959V             1.2980V
3.99nS            0.8872V           0.4959V             1.2980V
4.04nS            0.8873V           0.4959V             1.2980V
4.09nS            0.8873V           0.4959V             1.2980V
4.14nS            0.8873V           0.4960V             1.2980V
4.19nS            0.8873V           0.4960V             1.2980V
4.24nS            0.8873V           0.4960V             1.2980V
4.29nS            0.8873V           0.4960V             1.2980V
4.34nS            0.8873V           0.4960V             1.2980V
4.39nS            0.8873V           0.4960V             1.2980V
4.44nS            0.8873V           0.4960V             1.2980V
4.49nS            0.8873V           0.4960V             1.2980V
4.55nS            0.8873V           0.4960V             1.2980V
4.60nS            0.8873V           0.4960V             1.2980V
4.65nS            0.8873V           0.4960V             1.2980V
4.70nS            0.8873V           0.4960V             1.2980V
4.75nS            0.8873V           0.4960V             1.2980V
4.80nS            0.8873V           0.4960V             1.2980V
4.85nS            0.8873V           0.4960V             1.2980V
4.90nS            0.8873V           0.4960V             1.2980V
4.95nS            0.8874V           0.4961V             1.2980V
5.00nS            0.8874V           0.4961V             1.2980V
|  
[Rising Waveform]
R_fixture= 50.0000
V_fixture= 1.8000
V_fixture_min= 1.6000
V_fixture_max= 1.9500
|time     V(typ)              V(min)              V(max)
|  
0.0S              0.5789V           0.8782V             0.3798V
50.51pS           0.5789V           0.8782V             0.3798V
0.10nS            0.5789V           0.8782V             0.3798V
0.15nS            0.5789V           0.8782V             0.3798V
0.20nS            0.5789V           0.8782V             0.3797V
0.25nS            0.5789V           0.8782V             0.3805V
0.30nS            0.5797V           0.8782V             0.3767V
0.35nS            0.5795V           0.8783V             0.3762V
0.40nS            0.5754V           0.8787V             0.4125V
0.45nS            0.5700V           0.8791V             0.5402V
0.51nS            0.5728V           0.8794V             0.8296V
0.56nS            0.6001V           0.8795V             1.1480V
0.61nS            0.6762V           0.8792V             1.3880V
0.66nS            0.8192V           0.8785V             1.6110V
0.71nS            1.0080V           0.8773V             1.7650V
0.76nS            1.2040V           0.8754V             1.8530V
0.81nS            1.3580V           0.8730V             1.8990V
0.86nS            1.4700V           0.8699V             1.9230V
0.91nS            1.5570V           0.8668V             1.9360V
0.96nS            1.6260V           0.8645V             1.9420V
1.01nS            1.6760V           0.8650V             1.9450V
1.06nS            1.7130V           0.8709V             1.9470V
1.11nS            1.7400V           0.8860V             1.9480V
1.16nS            1.7580V           0.9154V             1.9480V
1.21nS            1.7720V           0.9592V             1.9480V
1.26nS            1.7810V           1.0160V             1.9490V
1.31nS            1.7870V           1.0840V             1.9490V
1.36nS            1.7910V           1.1600V             1.9490V
1.41nS            1.7930V           1.2370V             1.9490V
1.46nS            1.7950V           1.3100V             1.9490V
1.52nS            1.7960V           1.3740V             1.9490V
1.57nS            1.7970V           1.4240V             1.9490V
1.62nS            1.7980V           1.4620V             1.9490V
1.67nS            1.7980V           1.4890V             1.9490V
1.72nS            1.7980V           1.5110V             1.9490V
1.77nS            1.7990V           1.5260V             1.9490V
1.82nS            1.7990V           1.5370V             1.9490V
1.87nS            1.7990V           1.5450V             1.9490V
1.92nS            1.7990V           1.5510V             1.9490V
1.97nS            1.7990V           1.5570V             1.9490V
2.02nS            1.7990V           1.5620V             1.9490V
2.07nS            1.7990V           1.5670V             1.9490V
2.12nS            1.7990V           1.5720V             1.9490V
2.17nS            1.7990V           1.5760V             1.9490V
2.22nS            1.7990V           1.5800V             1.9490V
2.27nS            1.7990V           1.5830V             1.9490V
2.32nS            1.7990V           1.5860V             1.9490V
2.37nS            1.7990V           1.5880V             1.9490V
2.42nS            1.7990V           1.5900V             1.9490V
2.47nS            1.7990V           1.5920V             1.9490V
2.53nS            1.7990V           1.5935V             1.9490V
2.58nS            1.7990V           1.5950V             1.9490V
2.63nS            1.7990V           1.5960V             1.9490V
2.68nS            1.7990V           1.5970V             1.9490V
2.73nS            1.7990V           1.5970V             1.9490V
2.78nS            1.7990V           1.5980V             1.9490V
2.83nS            1.7990V           1.5980V             1.9490V
2.88nS            1.7990V           1.5980V             1.9490V
2.93nS            1.7990V           1.5980V             1.9490V
2.98nS            1.7990V           1.5990V             1.9490V
3.03nS            1.7990V           1.5990V             1.9490V
3.08nS            1.7990V           1.5990V             1.9490V
3.13nS            1.7990V           1.5990V             1.9490V
3.18nS            1.7990V           1.5990V             1.9490V
3.23nS            1.7990V           1.5990V             1.9490V
3.28nS            1.7990V           1.5990V             1.9490V
3.33nS            1.7990V           1.5990V             1.9490V
3.38nS            1.7990V           1.5990V             1.9490V
3.43nS            1.7990V           1.5990V             1.9490V
3.48nS            1.7990V           1.5990V             1.9490V
3.54nS            1.7990V           1.5990V             1.9490V
3.59nS            1.7990V           1.5990V             1.9490V
3.64nS            1.7990V           1.5990V             1.9490V
3.69nS            1.7990V           1.5990V             1.9490V
3.74nS            1.7990V           1.5990V             1.9490V
3.79nS            1.7990V           1.5990V             1.9490V
3.84nS            1.7990V           1.5990V             1.9490V
3.89nS            1.7990V           1.5990V             1.9490V
3.94nS            1.7990V           1.5990V             1.9490V
3.99nS            1.7990V           1.5990V             1.9490V
4.04nS            1.7990V           1.5990V             1.9490V
4.09nS            1.7990V           1.5990V             1.9490V
4.14nS            1.7990V           1.5990V             1.9490V
4.19nS            1.7990V           1.5990V             1.9490V
4.24nS            1.7990V           1.5990V             1.9490V
4.29nS            1.7990V           1.5990V             1.9490V
4.34nS            1.7990V           1.5990V             1.9490V
4.39nS            1.7990V           1.5990V             1.9490V
4.44nS            1.7990V           1.5990V             1.9490V
4.49nS            1.7990V           1.5990V             1.9490V
4.55nS            1.7990V           1.5990V             1.9490V
4.60nS            1.7990V           1.5990V             1.9490V
4.65nS            1.7990V           1.5990V             1.9490V
4.70nS            1.7990V           1.5990V             1.9490V
4.75nS            1.7990V           1.5990V             1.9490V
4.80nS            1.7990V           1.5990V             1.9490V
4.85nS            1.7990V           1.5990V             1.9490V
4.90nS            1.7990V           1.5990V             1.9490V
4.95nS            1.7990V           1.5990V             1.9490V
5.00nS            1.7990V           1.5990V             1.9490V
|  
[Falling Waveform]
R_fixture= 50.0000
V_fixture= 0.0
V_fixture_min= 0.0
V_fixture_max= 0.0
|time     V(typ)              V(min)              V(max)
|  
0.0S              0.8879V           0.4965V             1.2980V
50.51pS           0.8879V           0.4965V             1.2980V
0.10nS            0.8879V           0.4965V             1.2980V
0.15nS            0.8879V           0.4965V             1.2980V
0.20nS            0.8879V           0.4965V             1.2980V
0.25nS            0.8879V           0.4965V             1.2980V
0.30nS            0.8879V           0.4965V             1.2980V
0.35nS            0.8880V           0.4965V             1.2980V
0.40nS            0.8879V           0.4965V             1.2980V
0.45nS            0.8878V           0.4965V             1.2980V
0.51nS            0.8877V           0.4965V             1.2980V
0.56nS            0.8877V           0.4965V             1.2980V
0.61nS            0.8877V           0.4965V             1.2980V
0.66nS            0.8876V           0.4965V             1.2980V
0.71nS            0.8876V           0.4964V             1.2990V
0.76nS            0.8876V           0.4964V             1.3010V
0.81nS            0.8877V           0.4963V             1.3030V
0.86nS            0.8879V           0.4963V             1.3010V
0.91nS            0.8882V           0.4963V             1.2790V
0.96nS            0.8890V           0.4963V             1.2020V
1.01nS            0.8902V           0.4963V             1.0580V
1.06nS            0.8923V           0.4962V             0.8607V
1.11nS            0.8948V           0.4962V             0.6374V
1.16nS            0.8964V           0.4962V             0.3750V
1.21nS            0.8923V           0.4962V             0.1492V
1.26nS            0.8765V           0.4962V             47.4500mV
1.31nS            0.8406V           0.4962V             16.8500mV
1.36nS            0.7800V           0.4962V             8.3560mV
1.41nS            0.6975V           0.4963V             4.9350mV
1.46nS            0.5989V           0.4965V             3.1130mV
1.52nS            0.4938V           0.4967V             2.0570mV
1.57nS            0.3882V           0.4970V             1.4050mV
1.62nS            0.2818V           0.4975V             0.9892mV
1.67nS            0.1814V           0.4981V             0.7337mV
1.72nS            0.1048V           0.4990V             0.5760mV
1.77nS            57.0900mV         0.5001V             0.4770mV
1.82nS            30.8800mV         0.5013V             0.4126mV
1.87nS            16.9100mV         0.5026V             0.3705mV
1.92nS            10.5500mV         0.5038V             0.3460mV
1.97nS            7.1390mV          0.5040V             0.3257mV
2.02nS            5.0520mV          0.5032V             0.3128mV
2.07nS            3.6850mV          0.4995V             0.3012mV
2.12nS            2.7730mV          0.4921V             0.2930mV
2.17nS            2.1140mV          0.4797V             0.2847mV
2.22nS            1.6350mV          0.4614V             0.2783mV
2.27nS            1.2780mV          0.4369V             0.2722mV
2.32nS            1.0120mV          0.4075V             0.2662mV
2.37nS            0.8105mV          0.3735V             0.2612mV
2.42nS            0.6752mV          0.3359V             0.2562mV
2.47nS            0.5712mV          0.2968V             0.2513mV
2.53nS            0.4613mV          0.2380V             0.2448mV
2.58nS            0.3897mV          0.1817V             0.2384mV
2.63nS            0.3581mV          0.1475V             0.2346mV
2.68nS            0.3324mV          0.1170V             0.2309mV
2.73nS            0.3142mV          90.9600mV           0.2273mV
2.78nS            0.2977mV          69.5900mV           0.2238mV
2.83nS            0.2872mV          52.8800mV           0.2206mV
2.88nS            0.2767mV          40.0100mV           0.2175mV
2.93nS            0.2690mV          30.1600mV           0.2144mV
2.98nS            0.2627mV          23.0100mV           0.2114mV
3.03nS            0.2564mV          17.7100mV           0.2088mV
3.08nS            0.2513mV          13.6900mV           0.2061mV
3.13nS            0.2470mV          10.7800mV           0.2035mV
3.18nS            0.2428mV          8.6900mV            0.2009mV
3.23nS            0.2386mV          7.1160mV            0.1986mV
3.28nS            0.2352mV          5.8760mV            0.1964mV
3.33nS            0.2320mV          4.8780mV            0.1941mV
3.38nS            0.2288mV          4.0590mV            0.1918mV
3.43nS            0.2256mV          3.4400mV            0.1898mV
3.48nS            0.2227mV          2.9020mV            0.1878mV
3.54nS            0.2201mV          2.4460mV            0.1859mV
3.59nS            0.2174mV          2.0870mV            0.1839mV
3.64nS            0.2148mV          1.7640mV            0.1820mV
3.69nS            0.2121mV          1.5140mV            0.1802mV
3.74nS            0.2098mV          1.2950mV            0.1785mV
3.79nS            0.2075mV          1.1110mV            0.1768mV
3.84nS            0.2053mV          0.9629mV            0.1751mV
3.89nS            0.2030mV          0.8309mV            0.1734mV
3.94nS            0.2007mV          0.7298mV            0.1718mV
3.99nS            0.1987mV          0.6367mV            0.1703mV
4.04nS            0.1968mV          0.5666mV            0.1688mV
4.09nS            0.1948mV          0.5025mV            0.1673mV
4.14nS            0.1928mV          0.4519mV            0.1658mV
4.19nS            0.1908mV          0.4090mV            0.1644mV
4.24nS            0.1891mV          0.3706mV            0.1631mV
4.29nS            0.1873mV          0.3429mV            0.1617mV
4.34nS            0.1856mV          0.3151mV            0.1604mV
4.39nS            0.1839mV          0.2948mV            0.1590mV
4.44nS            0.1821mV          0.2774mV            0.1577mV
4.49nS            0.1806mV          0.2600mV            0.1565mV
4.55nS            0.1790mV          0.2486mV            0.1552mV
4.60nS            0.1774mV          0.2380mV            0.1540mV
4.65nS            0.1759mV          0.2274mV            0.1528mV
4.70nS            0.1743mV          0.2197mV            0.1516mV
4.75nS            0.1729mV          0.2134mV            0.1504mV
4.80nS            0.1715mV          0.2071mV            0.1493mV
4.85nS            0.1701mV          0.2008mV            0.1481mV
4.90nS            0.1687mV          0.1969mV            0.1470mV
4.95nS            0.1673mV          0.1930mV            0.1459mV
5.00nS            0.1660mV          0.1891mV            0.1448mV
|  
[Falling Waveform]
R_fixture= 50.0000
V_fixture= 1.8000
V_fixture_min= 1.6000
V_fixture_max= 1.9500
|time     V(typ)              V(min)              V(max)
|  
0.0S              1.8000V           1.6000V             1.9500V
50.51pS           1.8000V           1.6000V             1.9500V
0.10nS            1.8000V           1.6000V             1.9500V
0.15nS            1.8000V           1.6000V             1.9500V
0.20nS            1.8000V           1.6000V             1.9500V
0.25nS            1.8000V           1.6000V             1.9500V
0.30nS            1.8000V           1.6000V             1.9500V
0.35nS            1.8000V           1.6000V             1.9500V
0.40nS            1.8000V           1.6000V             1.9500V
0.45nS            1.8000V           1.6000V             1.9500V
0.51nS            1.8000V           1.6000V             1.9500V
0.56nS            1.8000V           1.6000V             1.9500V
0.61nS            1.8000V           1.6000V             1.9490V
0.66nS            1.8000V           1.6000V             1.9490V
0.71nS            1.8000V           1.6000V             1.9490V
0.76nS            1.8000V           1.6000V             1.9490V
0.81nS            1.8000V           1.6000V             1.9490V
0.86nS            1.7990V           1.6000V             1.9520V
0.91nS            1.7990V           1.6000V             1.9610V
0.96nS            1.7990V           1.6000V             1.9710V
1.01nS            1.7990V           1.6000V             1.9810V
1.06nS            1.7990V           1.6000V             1.9900V
1.11nS            1.7990V           1.6000V             1.9830V
1.16nS            1.8000V           1.6000V             1.8860V
1.21nS            1.8020V           1.6000V             1.6420V
1.26nS            1.8060V           1.6000V             1.3260V
1.31nS            1.8110V           1.6000V             1.0050V
1.36nS            1.8160V           1.6000V             0.7336V
1.41nS            1.8210V           1.6000V             0.5589V
1.46nS            1.8260V           1.5990V             0.4709V
1.52nS            1.8300V           1.5990V             0.4295V
1.57nS            1.8310V           1.5990V             0.4092V
1.62nS            1.8130V           1.5990V             0.3979V
1.67nS            1.7650V           1.5990V             0.3914V
1.72nS            1.6640V           1.5990V             0.3874V
1.77nS            1.5310V           1.5990V             0.3849V
1.82nS            1.3810V           1.5990V             0.3833V
1.87nS            1.2310V           1.5990V             0.3823V
1.92nS            1.0910V           1.5990V             0.3816V
1.97nS            0.9664V           1.6000V             0.3812V
2.02nS            0.8615V           1.6000V             0.3809V
2.07nS            0.7810V           1.6010V             0.3807V
2.12nS            0.7198V           1.6030V             0.3806V
2.17nS            0.6786V           1.6050V             0.3805V
2.22nS            0.6496V           1.6070V             0.3805V
2.27nS            0.6304V           1.6090V             0.3804V
2.32nS            0.6168V           1.6110V             0.3804V
2.37nS            0.6071V           1.6130V             0.3804V
2.42nS            0.6003V           1.6150V             0.3804V
2.47nS            0.5953V           1.6160V             0.3804V
2.53nS            0.5901V           1.6185V             0.3803V
2.58nS            0.5866V           1.6200V             0.3803V
2.63nS            0.5850V           1.6210V             0.3803V
2.68nS            0.5838V           1.6190V             0.3803V
2.73nS            0.5829V           1.6120V             0.3803V
2.78nS            0.5822V           1.5990V             0.3803V
2.83nS            0.5816V           1.5770V             0.3803V
2.88nS            0.5812V           1.5440V             0.3803V
2.93nS            0.5808V           1.5020V             0.3803V
2.98nS            0.5806V           1.4550V             0.3803V
3.03nS            0.5803V           1.4050V             0.3802V
3.08nS            0.5802V           1.3530V             0.3802V
3.13nS            0.5801V           1.3020V             0.3802V
3.18nS            0.5799V           1.2520V             0.3802V
3.23nS            0.5799V           1.2060V             0.3802V
3.28nS            0.5798V           1.1620V             0.3802V
3.33nS            0.5798V           1.1240V             0.3802V
3.38nS            0.5797V           1.0890V             0.3802V
3.43nS            0.5797V           1.0580V             0.3802V
3.48nS            0.5797V           1.0310V             0.3802V
3.54nS            0.5796V           1.0080V             0.3802V
3.59nS            0.5796V           0.9871V             0.3802V
3.64nS            0.5796V           0.9707V             0.3802V
3.69nS            0.5796V           0.9554V             0.3802V
3.74nS            0.5796V           0.9433V             0.3802V
3.79nS            0.5796V           0.9326V             0.3802V
3.84nS            0.5795V           0.9237V             0.3802V
3.89nS            0.5795V           0.9164V             0.3802V
3.94nS            0.5795V           0.9100V             0.3802V
3.99nS            0.5795V           0.9051V             0.3802V
4.04nS            0.5795V           0.9006V             0.3801V
4.09nS            0.5795V           0.8972V             0.3801V
4.14nS            0.5795V           0.8941V             0.3801V
4.19nS            0.5795V           0.8917V             0.3801V
4.24nS            0.5795V           0.8896V             0.3801V
4.29nS            0.5795V           0.8878V             0.3801V
4.34nS            0.5795V           0.8864V             0.3801V
4.39nS            0.5795V           0.8851V             0.3801V
4.44nS            0.5795V           0.8842V             0.3801V
4.49nS            0.5795V           0.8833V             0.3801V
4.55nS            0.5794V           0.8825V             0.3801V
4.60nS            0.5794V           0.8820V             0.3801V
4.65nS            0.5794V           0.8815V             0.3801V
4.70nS            0.5794V           0.8810V             0.3801V
4.75nS            0.5794V           0.8808V             0.3801V
4.80nS            0.5794V           0.8805V             0.3801V
4.85nS            0.5794V           0.8802V             0.3801V
4.90nS            0.5794V           0.8800V             0.3801V
4.95nS            0.5794V           0.8798V             0.3801V
5.00nS            0.5794V           0.8797V             0.3801V
|  
|-------------------------------------------------------------------------------
|



Как видим из IBIS описаний пинов, treashold отсутствует у модели фирмы Atmel. Таким образом, в bach mode симуляцию произвести не получается. Можно ли заставить HL8.1 в bach mode заставить симулировать шину только в одном направлении?
vugluskr
Модифицируя плату и загоняя её в HL. Чтобы заново не назначать модели элементам можно просто копировать с переименовыванием файл *.ref. А вот, что нужно сделать, чтобы каждый раз не назначать потенциалы плейнов?
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.