Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: unexpected PROCESS
Форум разработчиков электроники ELECTRONIX.ru > Сайт и форум > В помощь начинающему > Программирование
Claw
Имеется следующий код на VHDL:

Код
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

.........


entity d_trigger is
    Port ( clk  : in   STD_LOGIC;
           q : in   STD_LOGIC;
           clk_out : out  STD_LOGIC);
end d_trigger;

architecture Behavioral of d_trigger is
process (clk)
begin
   if clk'event and clk='1' then
    q<=clk_out;
   end if;
end process;
end Behavioral;


Появляется ошибка:
Цитата
parse error, unexpected PROCESS


Не могу понять как её исправить smile3046.gif
yume
Код
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

.........


entity d_trigger is
    Port ( clk  : in   STD_LOGIC;
           q : in   STD_LOGIC;
           clk_out : out  STD_LOGIC);
end d_trigger;

architecture Behavioral of d_trigger is
begin -- !!!
process (clk)
begin
   if clk'event and clk='1' then
    q<=clk_out;
   end if;
end process;
end Behavioral;

Вот где !!!, кажется этой строки недостает.
Claw
Спасибо, действительно заработало wink.gif
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.