Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Останавливается кулер на отладочной плате
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
novartis
Здравстувуйте, использую отладочную плату Stratix IV GX Development Kit.
Работал над проектом и при заливке в плату стал останавливаться кулер. Последовательно поудалял из проекта все компоненты, остался только один процесс обработки нажатия кнопки, кулер все равно останавливается.
Получилось, что проект состоит только из одного vhdl файла, который выглядит приблизительно так:


Код
entity  top is
    port
    (
        clk         : in std_logic;
        buttons     : in std_logic_vector (2 downto 0);
        leds        : out std_logic_vector (15 downto 0) := "1111111111111111";
        --
        a           : out std_logic_vector (15 downto 0) := (others => '0');
        b           : out std_logic_vector (11 downto 0) := (others => '0');
        c           : out std_logic_vector (17 downto 0) := (others => '0');
        d           : out std_logic_vector (22 downto 0) := (others => '0');
        ...
        x           : out std_logic_vector (31 downto 0) := (others => '0');
        y           : out std_logic_vector (14 downto 0) := (others => '0')
    );
end entity top;
architecture rtl of top is
BEGIN
    
    leds(0) <= '0';
    
    process (clk)
    begin
        if (rising_edge(clk)) then
            if (buttons(0)='1') then
                  leds(1) <= '0';
            end if;
        end if;
    end process;

end rtl;


Стал последовательно удалять выходные сигналы, после удаления сигнала y кулер перестал останавливается. дошел до того, что, если ширина сигнала y - N бит - кулер крутится, при N+1 - кулер не крутится. Причем этот бит можно засунуть в любой другой сигнал (a,b,c...) , тоесть делаю ширину сигнала y - N бит - кулер крутится, увеличиваю ширину сигнала, например, d - кулер останавливается.
В проекте только сигналы clk, buttons и leds разведены к ногам ПЛИС, остальные сигналы пока никуда не разведены. Настройки квартуса стоят по умолчанию.
Данный проект заливали в другую такую же плату. На ней эффект повторяется.

Помогите разобраться с этим глюком.
bogaev_roman
Мощности похоже не хватает, только такого быть не должно, если Вы плату не сами разводили. Можно попробовать в настройках квартуса выставить оптимизацию по мощности, проанализировать сколько у Вас там получается, посмотреть максимальную по спецификации. Да и данных побольше бы привели - какая тактовая используется, насколько кристалл забит, используются ли буфера с третьим состоянием....
des00
99.8% ноги не правильно назначены или не назначены вообще. один бит шины попадает на шим выход управления кулером %)

Цитата(novartis @ Dec 8 2011, 10:20) *
В проекте только сигналы clk, buttons и leds разведены к ногам ПЛИС, остальные сигналы пока никуда не разведены.

Ч.Т.Д. учите матчасть (схему на плату) и всегда описывайте в топе борды ВСЕ ноги.
maugli
Из описания Stratix IV GX Development Kit.

На плате есть переключатель - FAN_FORCE_ON .

Когда он находится в состоянии ON вентилятор включен постоянно на максимальной скорости .

В состоянии OFF режим работы вентилятора определяется микросхемами MAX1619 ( измеряет температуру кристалла ) и MAX II EPM2210 ( System Controller ) соединенные со Stratix IV GX по интерфейсу SMB .

Кстати на плате есть светодиод FAN LED вроде подключенный к выходу MAX1619 .

Без схемы на плату и не зная логики работы System Controller гадать бесполезно . Разбирайтесь .




novartis
Цитата(maugli @ Dec 9 2011, 07:13) *
Из описания Stratix IV GX Development Kit.

На плате есть переключатель - FAN_FORCE_ON .

Когда он находится в состоянии ON вентилятор включен постоянно на максимальной скорости .

В состоянии OFF режим работы вентилятора определяется микросхемами MAX1619 ( измеряет температуру кристалла ) и MAX II EPM2210 ( System Controller ) соединенные со Stratix IV GX по интерфейсу SMB .

Кстати на плате есть светодиод FAN LED вроде подключенный к выходу MAX1619 .

Без схемы на плату и не зная логики работы System Controller гадать бесполезно . Разбирайтесь .


Есть переключатель, тыркал я этот переключатель, вентилятор начинает работать на максимальной скорости, заливаю свой проект - вентилятор останавливается! (а ведь он включен постоянно на максимальной скорости)

Сегодня на балду прописал несколько пинов для одного из сигналов, оставшиеся шины оставил не разведенными. В результате - кулер крутится, я доволен.

Проект делал для HARDWARE-IN-LOOP для DSP_Builder. Там после перекомпиляции в HIL получается проект без входных и выходных сигналов, только jtag. Почему при таком проекте кулер останавливается, вообще не понятно.

Всем спасибо за помощь.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.