Работал над проектом и при заливке в плату стал останавливаться кулер. Последовательно поудалял из проекта все компоненты, остался только один процесс обработки нажатия кнопки, кулер все равно останавливается.
Получилось, что проект состоит только из одного vhdl файла, который выглядит приблизительно так:
Код
entity top is
port
(
clk : in std_logic;
buttons : in std_logic_vector (2 downto 0);
leds : out std_logic_vector (15 downto 0) := "1111111111111111";
--
a : out std_logic_vector (15 downto 0) := (others => '0');
b : out std_logic_vector (11 downto 0) := (others => '0');
c : out std_logic_vector (17 downto 0) := (others => '0');
d : out std_logic_vector (22 downto 0) := (others => '0');
...
x : out std_logic_vector (31 downto 0) := (others => '0');
y : out std_logic_vector (14 downto 0) := (others => '0')
);
end entity top;
architecture rtl of top is
BEGIN
leds(0) <= '0';
process (clk)
begin
if (rising_edge(clk)) then
if (buttons(0)='1') then
leds(1) <= '0';
end if;
end if;
end process;
end rtl;
port
(
clk : in std_logic;
buttons : in std_logic_vector (2 downto 0);
leds : out std_logic_vector (15 downto 0) := "1111111111111111";
--
a : out std_logic_vector (15 downto 0) := (others => '0');
b : out std_logic_vector (11 downto 0) := (others => '0');
c : out std_logic_vector (17 downto 0) := (others => '0');
d : out std_logic_vector (22 downto 0) := (others => '0');
...
x : out std_logic_vector (31 downto 0) := (others => '0');
y : out std_logic_vector (14 downto 0) := (others => '0')
);
end entity top;
architecture rtl of top is
BEGIN
leds(0) <= '0';
process (clk)
begin
if (rising_edge(clk)) then
if (buttons(0)='1') then
leds(1) <= '0';
end if;
end if;
end process;
end rtl;
Стал последовательно удалять выходные сигналы, после удаления сигнала y кулер перестал останавливается. дошел до того, что, если ширина сигнала y - N бит - кулер крутится, при N+1 - кулер не крутится. Причем этот бит можно засунуть в любой другой сигнал (a,b,c...) , тоесть делаю ширину сигнала y - N бит - кулер крутится, увеличиваю ширину сигнала, например, d - кулер останавливается.
В проекте только сигналы clk, buttons и leds разведены к ногам ПЛИС, остальные сигналы пока никуда не разведены. Настройки квартуса стоят по умолчанию.
Данный проект заливали в другую такую же плату. На ней эффект повторяется.
Помогите разобраться с этим глюком.