Имеется кит с EP3C25F324C6 на борту. Вроде заставил на нем работать ддр память, хочется теперь заставить работать ethernet хотя бы простой udp.
Но когда создаю проект в QSYS с triple_speed_ethernet, то у меня получается несколько выводов, которые я не могу подключить к PHY. В настройках выбираю 10/100 small MAC. Иначе лишних выводов становится еще больше.
То что выдает QSYS
CODE
ether_m_rx_d : in std_logic_vector(3 downto 0) := (others => 'X'); -- m_rx_d
ether_m_rx_en : in std_logic := 'X'; -- m_rx_en
ether_m_rx_err : in std_logic := 'X'; -- m_rx_err
ether_m_tx_d : out std_logic_vector(3 downto 0); -- m_tx_d
ether_m_tx_en : out std_logic; -- m_tx_en
ether_m_tx_err : out std_logic; -- m_tx_err
ether_tx_clk : in std_logic := 'X'; -- tx_clk
ether_rx_clk : in std_logic := 'X'; -- rx_clk
ether_set_10 : in std_logic := 'X'; -- set_10
ether_set_1000 : in std_logic := 'X'; -- set_1000
ether_ena_10 : out std_logic; -- ena_10
ether_eth_mode : out std_logic; -- eth_mode
ether_mdio_out : out std_logic; -- mdio_out
ether_mdio_oen : out std_logic; -- mdio_oen
ether_mdio_in : in std_logic := 'X'; -- mdio_in
ether_mdc : out std_logic; -- mdc
ether_m_rx_col : in std_logic := 'X'; -- m_rx_col
ether_m_rx_crs : in std_logic := 'X' -- m_rx_crs

То что есть в PHY
CODE
TX_CLK
TX_EN
TXD0
TXD1
TXD2
TXD3
Eth_RESET_N
MDIO
MDC
RX_CLK
RX_DV
RX_CRS
RX_ERR
RX_COL
RXD0
RXD1
RXD2
RXD3

Их можно как нибудь подключить или только искать другую корку?