реклама на сайте
подробности

 
 
> Констрейны для контроллера SDR SDRAM на Altera EP2C8Q208, Помогите советом, как правильно посчитать
IanPo
сообщение Feb 1 2012, 17:53
Сообщение #1


Участник
*

Группа: Участник
Сообщений: 44
Регистрация: 14-10-10
Пользователь №: 60 152



Здравствуйте!

Есть плата контроллера SDR SDRAM, пишу VHDL-код для него.

Код
set_time_format -unit ns

create_clock -name "iF14" -period 71.428 [get_ports {iF14}]

create_generated_clock -name {sPLL126} -source [get_pins {altpll0_inst|altpll_component|pll|inclk[0]}] \
    -multiply_by 9 [get_pins {altpll0_inst|altpll_component|pll|clk[0]}]

set_output_delay -clock {sPLL126} -reference_pin [get_ports {oSDR_CLK}] -max 2.0 [get_ports {oSDR_A[*] oSDR_BA[*] oSDR_RAS oSDR_CAS oSDR_WE oSDR_DQM_L oSDR_DQM_H ioSDR_DQ[*]}]
set_output_delay -clock {sPLL126} -reference_pin [get_ports {oSDR_CLK}] -min -1.0 [get_ports {oSDR_A[*] oSDR_BA[*] oSDR_RAS oSDR_CAS oSDR_WE oSDR_DQM_L oSDR_DQM_H ioSDR_DQ[*]}]

set_input_delay -clock {sPLL126} -reference_pin [get_ports {oSDR_CLK}] -max 2.5 [get_ports {ioSDR_DQ[*]}] -add_delay
set_input_delay -clock {sPLL126} -reference_pin [get_ports {oSDR_CLK}] -min 1.0 [get_ports {ioSDR_DQ[*]}] -add_delay


Так вот - чтение сбоит (вывожу через VGA содержимое ОЗУ), на экране видно непостоянство точек.
Тактовая 14*9=126 МГц.

Сильно подозреваю, что я чего-то не так задал.

1) Как правильно рассчитать констрейны? Особенно непонятен set_input_delay

2) CLK на ОЗУ идет от вывода 151 PLLOut. Обязательно ли выводить CLK с такого вывода ?

Сообщение отредактировал IanPo - Feb 1 2012, 18:24
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- IanPo   Констрейны для контроллера SDR SDRAM на Altera EP2C8Q208   Feb 1 2012, 17:53
- - des00   на сайте альтеры есть дока Constraint of SOPC Bui...   Feb 1 2012, 18:14
|- - IanPo   Цитата(des00 @ Feb 1 2012, 22:14) на сайт...   Feb 1 2012, 18:23
- - IanPo   Сделал все по статье, не влезаю в timing requireme...   Feb 2 2012, 16:53
|- - des00   Цитата(IanPo @ Feb 2 2012, 11:53) Как бор...   Feb 3 2012, 08:26
- - IanPo   Регистры в IO буферах - не очень понятно, что это....   Feb 3 2012, 16:56
|- - des00   Цитата(IanPo @ Feb 3 2012, 10:56) Регистр...   Feb 5 2012, 09:17
- - IanPo   Большое спасибо за ответы 1. Нашел в Assignment E...   Feb 5 2012, 11:47
|- - des00   Цитата(IanPo @ Feb 5 2012, 06:47) 1. Наше...   Feb 5 2012, 14:46
- - IanPo   Поправил проект, назначил Fast Output Registers на...   Feb 7 2012, 05:46
- - IanPo   Добрый день! В связи с неответами бьюсь с про...   Feb 11 2012, 10:21
- - des00   модель памяти скажите какая. что то мне 5.4 нс выб...   Feb 11 2012, 13:09
- - IanPo   hynix hy57v641620FTP-H по справ.листку tHZ=tAC3=5....   Feb 11 2012, 14:07
|- - des00   Цитата(IanPo @ Feb 11 2012, 09:07) hynix ...   Feb 11 2012, 14:28
- - IanPo   Спасибо, так запас по частоте гораздо больше. Выво...   Feb 11 2012, 15:40
- - IanPo   Реализовал 2 вариант (случай точь-в-точь, как в пу...   Feb 12 2012, 05:34
- - des00   Цитата(IanPo @ Feb 12 2012, 00:34) Работа...   Feb 12 2012, 12:57


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 16th July 2025 - 14:27
Рейтинг@Mail.ru


Страница сгенерированна за 0.01394 секунд с 7
ELECTRONIX ©2004-2016