реклама на сайте
подробности

 
 
> как отловить в каком месте ставится latch?
GAYVER
сообщение Apr 3 2013, 11:04
Сообщение #1


Частый гость
**

Группа: Свой
Сообщений: 139
Регистрация: 3-04-13
Пользователь №: 76 333



суммари:
Device Utilization Summary:
Number of Slice Registers - 4,631
Number used as Flip Flops - 4,630
Number used as Latches - 1
.....

синтез репорт:
CODE
Device utilization summary:
---------------------------
Selected Device : 6vlx195tff1156-1

Slice Logic Utilization:
Number of Slice Registers: 4816 out of 249600 1%
Number of Slice LUTs: 4488 out of 124800 3%
Number used as Logic: 3500 out of 124800 2%
Number used as Memory: 988 out of 48640 2%
Number used as SRL: 988

Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 6227
Number with an unused Flip Flop: 1411 out of 6227 22%
Number with an unused LUT: 1739 out of 6227 27%
Number of fully used LUT-FF pairs: 3077 out of 6227 49%
Number of unique control sets: 181

IO Utilization:
Number of IOs: 440
Number of bonded IOBs: 344 out of 600 57%

Specific Feature Utilization:
Number of Block RAM/FIFO: 3 out of 344 0%
Number using Block RAM only: 3
Number of BUFG/BUFGCTRLs: 4 out of 32 12%



мап репорт:
CODE
Design Summary
--------------
Number of errors: 0
Number of warnings: 358
Slice Logic Utilization:
Number of Slice Registers: 4,631 out of 249,600 1%
Number used as Flip Flops: 4,630
Number used as Latches: 1
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 3,955 out of 124,800 3%
Number used as logic: 2,931 out of 124,800 2%
Number using O6 output only: 2,255
Number using O5 output only: 33
Number using O5 and O6: 643
Number used as ROM: 0
Number used as Memory: 984 out of 48,640 2%
Number used as Dual Port RAM: 0
Number used as Single Port RAM: 0
Number used as Shift Register: 984
Number using O6 output only: 984
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 40
Number with same-slice register load: 39
Number with same-slice carry load: 1
Number with other load: 0

Slice Logic Distribution:
Number of occupied Slices: 1,781 out of 31,200 5%
Number of LUT Flip Flop pairs used: 5,224
Number with an unused Flip Flop: 898 out of 5,224 17%
Number with an unused LUT: 1,269 out of 5,224 24%
Number of fully used LUT-FF pairs: 3,057 out of 5,224 58%
Number of unique control sets: 183
Number of slice register sites lost
to control set restrictions: 705 out of 249,600 1%

IO Utilization:
Number of bonded IOBs: 440 out of 600 73%
Number of LOCed IOBs: 440 out of 440 100%
IOB Flip Flops: 164
IOB Master Pads: 12
IOB Slave Pads: 12

Specific Feature Utilization:
Number of RAMB36E1/FIFO36E1s: 3 out of 344 1%
Number using RAMB36E1 only: 3
Number using FIFO36E1 only: 0
Number of RAMB18E1/FIFO18E1s: 0 out of 688 0%
Number of BUFG/BUFGCTRLs: 4 out of 32 12%
Number used as BUFGs: 4
Number used as BUFGCTRLs: 0
Number of ILOGICE1/ISERDESE1s: 64 out of 600 10%
Number used as ILOGICE1s: 0
Number used as ISERDESE1s: 64
Number of OLOGICE1/OSERDESE1s: 166 out of 600 27%
Number used as OLOGICE1s: 166
Number used as OSERDESE1s: 0
Number of BSCANs: 0 out of 4 0%
Number of BUFHCEs: 0 out of 120 0%
Number of BUFIODQSs: 8 out of 60 13%
Number of BUFRs: 0 out of 30 0%
Number of CAPTUREs: 0 out of 1 0%
Number of DSP48E1s: 0 out of 640 0%
Number of EFUSE_USRs: 0 out of 1 0%
Number of FRAME_ECCs: 0 out of 1 0%
Number of GTXE1s: 0 out of 20 0%
Number of IBUFDS_GTXE1s: 0 out of 10 0%
Number of ICAPs: 0 out of 2 0%
Number of IDELAYCTRLs: 12 out of 15 80%
Number of IODELAYE1s: 236 out of 600 39%
Number of MMCM_ADVs: 1 out of 10 10%
Number of PCIE_2_0s: 0 out of 2 0%
Number of STARTUPs: 1 out of 1 100%
Number of SYSMONs: 0 out of 1 0%
Number of TEMAC_SINGLEs: 0 out of 4 0%



вопрос - как выловить эту защелку???

Сообщение отредактировал GAYVER - Apr 3 2013, 11:08
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- GAYVER   как отловить в каком месте ставится latch?   Apr 3 2013, 11:04
- - andrew_b   Логи синтезатора читать, а не только финальный отч...   Apr 3 2013, 11:31
- - iosifk   Цитата(GAYVER @ Apr 3 2013, 15:04) вопрос...   Apr 3 2013, 11:36
- - GAYVER   второй день курю логи. в отчете просматривал все п...   Apr 3 2013, 11:42
|- - alexadmin   Цитата(GAYVER @ Apr 3 2013, 15:42) второй...   Apr 3 2013, 11:43
||- - GAYVER   Цитата(alexadmin @ Apr 3 2013, 15:43) Про...   Apr 3 2013, 11:46
||- - Maverick   Цитата(GAYVER @ Apr 3 2013, 14:46) в прое...   Apr 3 2013, 12:01
||- - alexadmin   Цитата(GAYVER @ Apr 3 2013, 15:46) в прое...   Apr 3 2013, 13:07
||- - GAYVER   Цитата(alexadmin @ Apr 3 2013, 17:07) А, ...   Apr 3 2013, 13:14
||- - Maverick   Цитата(GAYVER @ Apr 3 2013, 16:14) и куск...   Apr 3 2013, 13:26
|||- - GAYVER   Цитата(Maverick @ Apr 3 2013, 17:26) попр...   Apr 3 2013, 13:41
||- - yes   Цитата(GAYVER @ Apr 3 2013, 17:14) после ...   Apr 3 2013, 14:27
||- - GAYVER   Цитата(yes @ Apr 3 2013, 18:27) ну это вр...   Apr 4 2013, 07:50
|- - iosifk   Цитата(GAYVER @ Apr 3 2013, 15:42) . прос...   Apr 3 2013, 11:58
- - GAYVER   RE: как отловить в каком месте ставится latch?   Apr 3 2013, 13:06
|- - Maverick   там приведены названия сигналов, для которых синте...   Apr 3 2013, 13:11
- - GAYVER   WARNING:Xst:1710 - FF/Latch <inter_slave0/read...   Apr 3 2013, 13:08
- - XVR   Судя по логам latch'а там нет. Посмотрите в ga...   Apr 4 2013, 07:40
- - GAYVER   пардоньте, не дцм. The outputs from the MMCM are ...   Apr 4 2013, 09:59


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th July 2025 - 21:27
Рейтинг@Mail.ru


Страница сгенерированна за 0.01358 секунд с 7
ELECTRONIX ©2004-2016