реклама на сайте
подробности

 
 
3 страниц V  < 1 2 3  
Reply to this topicStart new topic
> ПЛИС, лёгкий старт, Помогите новичку выбрать учебную платформу
gibson1980
сообщение Apr 14 2014, 05:35
Сообщение #31


Частый гость
**

Группа: Свой
Сообщений: 116
Регистрация: 13-12-12
Пользователь №: 74 831



Код
if (Enable = '1' and t_Enable = '0') then
   start_Enable <= '1';
else
   start_Enable <= '0';
end if;

t_Enable <= Enable;  //задержка Enable на один такт


где srart_Enable - единичный импульс синхронизированный с тактовой сеткой от которой работает процесс.

Сообщение отредактировал gibson1980 - Apr 14 2014, 05:39


--------------------
Go to the top of the page
 
+Quote Post
iosifk
сообщение Apr 14 2014, 07:19
Сообщение #32


Гуру
******

Группа: Модераторы
Сообщений: 4 011
Регистрация: 8-09-05
Из: спб
Пользователь №: 8 369



Цитата(AlexeyDeveloper @ Apr 14 2014, 08:16) *
спасибо большое за ответы, к сожалению скайп у меня отсутствует...
дело в том, что сигнал запуска-Enable является асинхронным, он может появиться в любой момент времени и никак не привязан к clockАМ... это всего-лишь импульс, продолжительностью несколько микросекунд (переход из '1' в '0' несколько микросекунд в нуле, а далее вновь '1') Так вот, именно этот импульс необходимо обнаружить, а далее процесс выполняется по clockАМ.

Тогда читайте у меня на сайте "Краткий курс", глава о пересечении клоковых доменов (CDC)...
А поставить скайп - это проблема?


--------------------
www.iosifk.narod.ru
Go to the top of the page
 
+Quote Post
AlexeyDeveloper
сообщение Apr 16 2014, 08:43
Сообщение #33





Группа: Новичок
Сообщений: 6
Регистрация: 14-04-14
Из: Самара
Пользователь №: 81 364



Уважаемый iosifk, прочитав рекомендованную вами статью многое прояснилось, после чего добавил в свой код простейший синхронизатор получил то что мне требовалось! огромное спасибо!
Go to the top of the page
 
+Quote Post
Maverick
сообщение Apr 16 2014, 09:09
Сообщение #34


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(AlexeyDeveloper @ Apr 14 2014, 06:36) *

пример триггера
Код
----Триггеры, тактируемые передним фронтом с асинхронным сбросом и разрешением тактового сигнала (Rising Edge Filp-Flop with Asynchronous Reset and Clock Enable)
library IEEE;

use IEEE.std_logic_1164.all;

entity dff_ck_en is

port (data, clk, reset, en : in std_logic;

q :out std_logic);

end dff_ck_en;

architecture behav of dff_ck_en is

begin

process (clk, reset) begin

if (reset = '0') then

q <= '0';

elsif (clk'event and clk = '1') then

if (en = '1') then

q <= data;

end if;

end if;

end process;

end behav;


насчет сброса - он также может быть синхронным (выбор за Вами).

Совет придерживайтесь рекомендаций по описанию для синтеза.


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
iosifk
сообщение Apr 16 2014, 09:59
Сообщение #35


Гуру
******

Группа: Модераторы
Сообщений: 4 011
Регистрация: 8-09-05
Из: спб
Пользователь №: 8 369



Цитата(AlexeyDeveloper @ Apr 16 2014, 12:43) *
Уважаемый iosifk, прочитав рекомендованную вами статью многое прояснилось, после чего добавил в свой код простейший синхронизатор получил то что мне требовалось! огромное спасибо!

Может быть Вам нужны персональные занятия по ПЛИС?


--------------------
www.iosifk.narod.ru
Go to the top of the page
 
+Quote Post
Maverick
сообщение Apr 16 2014, 10:19
Сообщение #36


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(iosifk @ Apr 16 2014, 12:59) *
Может быть Вам нужны персональные занятия по ПЛИС?

biggrin.gif


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
AlexeyDeveloper
сообщение Apr 16 2014, 12:00
Сообщение #37





Группа: Новичок
Сообщений: 6
Регистрация: 14-04-14
Из: Самара
Пользователь №: 81 364



to Maverick
спасибо...код который вы написали встречается в каждой книжке Бибило...
с этим вроде все понятно...
просто я заплутал в трех соснах, так как данная тема (написание кода на VHDL) для меня малознакома, после прочтения статьи iosifkА задачу решил...еще раз спасибо за НЕРАВНОДУШИЕ!

Сообщение отредактировал AlexeyDeveloper - Apr 16 2014, 12:04
Go to the top of the page
 
+Quote Post
AlexeyDeveloper
сообщение Apr 22 2014, 09:24
Сообщение #38





Группа: Новичок
Сообщений: 6
Регистрация: 14-04-14
Из: Самара
Пользователь №: 81 364



Цитата(iosifk @ Apr 16 2014, 13:59) *
Может быть Вам нужны персональные занятия по ПЛИС?

Спасибо за предложение. В связи с этим хотелось бы получить ответы на следующие вопросы:
1.Формат курсов?
2.Что в Ваших курсах есть такого, чего нету в Ваших статьях и книжках Бибило, Суворовой?
3 и др. Ваши условия!?
подробности можно на мейл postbox-lexa@ya точка ru
Go to the top of the page
 
+Quote Post
exiro
сообщение Apr 29 2014, 08:35
Сообщение #39


Участник
*

Группа: Участник
Сообщений: 35
Регистрация: 22-06-11
Пользователь №: 65 820



Цитата(iosifk @ Apr 16 2014, 13:59) *
Может быть Вам нужны персональные занятия по ПЛИС?

А может группу соберем? biggrin.gif

Сообщение отредактировал exiro - Apr 29 2014, 08:35
Go to the top of the page
 
+Quote Post
alman
сообщение Apr 29 2014, 15:17
Сообщение #40


Участник
*

Группа: Участник
Сообщений: 45
Регистрация: 22-12-10
Из: Россия, Ростовская обл.
Пользователь №: 61 800



Цитата(di4zerus @ Jan 22 2014, 18:43) *
Знающие люди, подскажите со своей профессиональной точки зрения, с чего лучше стартануть?


Жаль, не могу причислить себя к знающим, но мне довольно легко удалось стартануть с платы Марсоход2 - http://marsohod.org/
Можно заказать устройство почтой, но за скорость и качество доставки ничего сказать не могу - ездил за платой сам.
Устройство весьма увлекательное и хорошо подходит для изучения основ HDL.
Go to the top of the page
 
+Quote Post

3 страниц V  < 1 2 3
Reply to this topicStart new topic
2 чел. читают эту тему (гостей: 2, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th June 2025 - 08:58
Рейтинг@Mail.ru


Страница сгенерированна за 0.01471 секунд с 7
ELECTRONIX ©2004-2016