Полная версия этой страницы:
ПЛИС, лёгкий старт
di4zerus
Jan 22 2014, 14:43
Здравствуйте!
Подскажите словом.
Освоил AVR, копаюсь (пока ещё не уверенно) в STM32. Хочу освоиться в работе с ПЛИС. Подбираю себе простой учебный набор на время обучения. Может, буду использовать в перспективе.
Основное требование: быстрота старта и куча учебных примеров.
После некоторых поисков, остановился на базе Altera:
1) MiniDiLab-CIV
http://www.altera.ru/postavka-MiniDiLab-CI...#33;prettyPhotoПодкупила наличием полного учебного курса, причем на Русском языке (в английском я туг - моя беда).
Так же прилагается некоторый учебный материал ввиде лекций. Т.е. полное введение в ПЛИС гарантировано.
Среда программирования в комплекте. Программатор (Бластер) вроде как встроен.
Функционал малёк. Ресурсы чипа невелики.
Обещают поддержку.
2) DE0-Nano
http://www.terraelectronica.ru/news_postup.php?ID=2624У этой чип помощнее. В несколько раз больше программируемых вентилей.
Вроде бы обвязки больше, больше функциональностей. Кажется, прилагается какой-то учебный комплект с наборами примеров. Больше пространства для фантазии, но мне кажется тяжеловато стартануть будет. Как говориться, осваивать в бою.Хоть всё на английском - разобраться найдутся силы, наверное. Но что идёт к ней в комплекте, ХЗ.
Знающие люди, подскажите со своей профессиональной точки зрения, с чего лучше стартануть?
Может есть ещё какие-нибудь варианты? (кроме самопала, FTDRL для меня тяжеловат был в пайке. Такое дома делаю не часто. Только затяжной проект.)
Альтера - это хороший выбор из-за дружественного интерфейса их программ
DE0 - платы поддерживаются альтерой, то есть при практически той же цене - гораздо больше возможностей
если волнует стоимость платы, то можно посмотреть на lattice
http://ru.mouser.com/ProductDetail/Lattice...X6iISI7M%2fE%3d
Jackov
Jan 22 2014, 14:54
Цитата(di4zerus @ Jan 22 2014, 17:43)

Здравствуйте!
Подскажите словом.
Я себе взял MiniDiLab-CIV. В принципе доволен. Есть порты в/в на которые можно вешать всякое, плохо то, что их мало.
Да, программатор встроенный, притом универсальный (чем меня эта плата и подкупила), т.е. может программировать как микросхему на плате, так и внешнюю, + микросхему на плате можно программировать и внешним программатором.
Вот здесь я провёл небольшую демонстрацию работы:
http://www.youtube.com/watch?feature=playe...oQQH8lj6JyQ#t=5
iosifk
Jan 22 2014, 14:58
Цитата(di4zerus @ Jan 22 2014, 18:43)

Здравствуйте!
Подскажите словом.
Освоил AVR, копаюсь (пока ещё не уверенно) в STM32. Хочу освоиться в работе с ПЛИС. Подбираю себе простой учебный набор на время обучения. Может, буду использовать в перспективе.
Основное требование: быстрота старта и куча учебных примеров.
ПЛИС - это не микроконтроллер. И изучают их по-другому...
для изучения ПЛИС - стартовый набор - совсем не главное. И начинать нужно не с него, а с изучения языка описания и с симулятора. А вот когда поймете, что такое проект, какие проекты Вам понадобятся, вот только тогда и будете покупать железку...
А программные инструменты можно для начала поставить бесплатные...
di4zerus
Jan 22 2014, 15:06
О! как быстро

Спасибо всем откликнувшимся.
Цитата(iosifk @ Jan 22 2014, 18:58)

ПЛИС - это не микроконтроллер. И изучают их по-другому...
для изучения ПЛИС - стартовый набор - совсем не главное. И начинать нужно не с него, а с изучения языка описания и с симулятора. А вот когда поймете, что такое проект, какие проекты Вам понадобятся, вот только тогда и будете покупать железку...
А программные инструменты можно для начала поставить бесплатные...
Ну. Я по роду деятельности порой автоматизацией занимаюсь. Изучать функции ПЛК не имея самого ПЛК, поверьте - интереса никакого. Когда есть стенд и работа идёт веселей.
Цитата(Jackov @ Jan 22 2014, 18:54)

Я себе взял MiniDiLab-CIV.
Спасибо за материальчик. Уже смотрю. Про программатор не знал - полезная фича!
Интересный материал. Тоже склоняюсь сначала его. Как лучше разбираться стану, можно, наверное и пожирче.
А как плата в плане качества изготовления?
Со связью со средой программирования проблемы не возникли?
Драйвера встали?
iosifk
Jan 22 2014, 15:09
Цитата(di4zerus @ Jan 22 2014, 19:06)

Ну. Я по роду деятельности порой автоматизацией занимаюсь. Изучать функции ПЛК не имея самого ПЛК, поверьте - интереса никакого. Когда есть стенд и работа идёт веселей
Еще раз... ПЛК - это железка на основе готового вычислителя...
А ПЛИС - это совсем другое. И возня с железом от всего проекта - меньше 25%... Потому еще раз говорю, что технология изучения микроконтроллеров в ПЛИС не работает...
di4zerus
Jan 22 2014, 15:13
2iosifk,
Хоть и не совсем с Вами согласен, воспользуюсь советом. Прежде чем заказать что-либо, естественно покопаюсь в литературе. В примерах уже пытался ковыряться (с сайта marsohod). А осваивать ПЛИС надо, железяку всё же закажу, как выберу.
Konst_777
Jan 22 2014, 16:13
Цитата(di4zerus @ Jan 22 2014, 19:06)

...Тоже склоняюсь сначала его. Как лучше разбираться стану, можно, наверное и пожирче...
MiniDiLab-CIV (Cyclone IV EP4C6E22C8N):
- $145;
- 6K LE;
- SDRAM - нет;
- SRAM 256k*16.
Лучше посмотрите в сторону
Arrow BeMicro CV (Cyclone V 5CEFA2F23C8N):
- с доставкой $80 или $110;
- 25K LE;
- в 6 раз больше внутренней памяти, чем у EP4C6E22C8N;
- 25 Variable-precision DSP Block;
- аппаратный мультипортовый контроллер DDR3;
- SDRAM DDR3 64Мбит*16.
di4zerus
Jan 22 2014, 16:20
Arrow действительно подешевле. Что привлекает. А как у них с учебным материалом? Боюсь завязнуть на начальных этапах.
Konst_777
Jan 22 2014, 16:38
Цитата(di4zerus @ Jan 22 2014, 20:20)

... А как у них с учебным материалом? Боюсь завязнуть на начальных этапах.
В теме
Cyclone V + DDR3 Hard Memory Controller есть проект для BeMicro CV. Посмотрите, разберитесь

Даже, если он будет слишком сложен для Вас, данный проект можно использовать при портировании простых примеров на этот кит.
iosifk
Jan 22 2014, 18:18
Цитата(di4zerus @ Jan 22 2014, 19:13)

А осваивать ПЛИС надо, железяку всё же закажу, как выберу.
Для каких дел Вам нужны ПЛИС?
Что касается предлагаемой здесь дешевой платки, то после того, как помаргаете на ней светодиодом либо ее выкините через неделю, либо будете себя ругать, что зря потратили деньги... Ну, либо придется еще докупать или допаивать...
Jackov
Jan 22 2014, 18:50
Цитата(di4zerus @ Jan 22 2014, 18:06)

Спасибо за материальчик. Уже смотрю. Про программатор не знал - полезная фича!
Интересный материал. Тоже склоняюсь сначала его. Как лучше разбираться стану, можно, наверное и пожирче.
А как плата в плане качества изготовления?
Со связью со средой программирования проблемы не возникли?
Драйвера встали?
Качество в целом годное, пока ни чего не отвалилось! ))
Программатор Квартусом распознаётся как родной. Драва в самом Квартусе лежат.
Или же вообще хардкорный вариант:
http://www.ebay.com/itm/EP4CE6-EP4CE6E22C8...=item3a7c552b2fЭти же ребята и периферию всякую толкают:
http://www.ebay.com/itm/EP4CE10-ALTERA-FPG...=item3cd0021c16Цитата(iosifk @ Jan 22 2014, 21:18)

Для каких дел Вам нужны ПЛИС?
Что касается предлагаемой здесь дешевой платки, то после того, как помаргаете на ней светодиодом либо ее выкините через неделю, либо будете себя ругать, что зря потратили деньги... Ну, либо придется еще докупать или допаивать...
Ну да, интерфейс на ней, мягко говоря, бедный. Зато есть лишний повод паяльником поработать. ) Ну и как обычным программатором можно пользоваться, а то фирменный 300 баксов стоит.
iosifk
Jan 22 2014, 19:01
Цитата(Jackov @ Jan 22 2014, 22:50)

Ну да, интерфейс на ней, мягко говоря, бедный. Зато есть лишний повод паяльником поработать. ) Ну и как обычным программатором можно пользоваться, а то фирменный 300 баксов стоит.
Клоны уже давно по 50 продаются... А LPT-карта вообще гроши стоит... И на 2 долл самопал к ней... Так что не будем...
А вот это - " есть лишний повод паяльником поработать" вообще полная ерунда и к ПЛИС отношения не имеет... Вы что, "паяльником" PCIe сделаете?
Правда, если цель "старта" - это елочные гирлянды, то тогда оно конечно можно и "паяльником"...
Eugenius
Jan 22 2014, 19:11
Не вижу решительно никакого смысла в Evaluation на начальном этапе без, даже минимальной, цели. Нужно изучить схемотехнику ПЛИС, понять какие возможности ПЛИСы предоставляют. Изучить HDL, понять связь между конструкциями языка и реализацией в ПЛИС. Разобраться с пакетами ПО: построением проекта, моделированием, синтезом, созданием constrain'ов, связь с Матлабом.
Вот когда будут идеи, что захочется реализовать, хотя бы из какой области: DSP, видео, звук, интерфейсы и т.д. - вот тогда и стоит обратить внимание на платы. Уже будет понятно, сколько хотя бы примерно ресурсов требуется, какие аппаратные ядра нужны, какая периферия: LCD экран, DVI, SATA, PCIe, сколько и какой внешней памяти, ЦАП, АЦП (или возможность поставить модуль в формате FMC), гигабитные трансиверы (и какого типа порты), USB, многое другое.
Иначе, с большой вероятностью, выкинутые деньги. Я бы их отложил на оригинальный JTAG кабель - пользы в будущем больше.
Jackov
Jan 22 2014, 19:11
Цитата(iosifk @ Jan 22 2014, 22:01)

Клоны уже давно по 50 продаются... А LPT-карта вообще гроши стоит... И на 2 долл самопал к ней... Так что не будем...
А так ещё какая-никакая, а плиска в комплект идёт. Ну да, пожалуй не будем...
Цитата(iosifk @ Jan 22 2014, 22:01)

А вот это - " есть лишний повод паяльником поработать" вообще полная ерунда и к ПЛИС отношения не имеет... Вы что, "паяльником" PCIe сделаете?
Как по мне, начинать изучение ПЛИС с проектирования PCIe это перебор. А вот поиграться с кнопочкой, 7-ми сегментный индикатор поджечь, для новичка - в самый раз.
iosifk
Jan 22 2014, 19:33
Цитата(Jackov @ Jan 22 2014, 23:11)

Как по мне, начинать изучение ПЛИС с проектирования PCIe это перебор. А вот поиграться с кнопочкой, 7-ми сегментный индикатор поджечь, для новичка - в самый раз.
Все эти кнопочки - ерунда на пару часов... И больше в них играть нечего... И плата пойдет на выброс... А вот PCIe позволит в реальном времени гонять данные.. и отлаживать серьезные вещи. Да, это не для чайника. Но я же написал, как надо. И еще тут человек об этом правильно же написал...
правда я все время забываю, что тут полно игрунов... для них и светодиод - это вершина...
А уж материалов для изучения - полно... Дальше писать не буду, сами их найдете.. А то ведь и нервных тоже хватает, и если я напишу, то...
Jackov
Jan 22 2014, 20:00
Цитата(iosifk @ Jan 22 2014, 22:33)

Все эти кнопочки - ерунда на пару часов... И больше в них играть нечего... И плата пойдет на выброс... А вот PCIe позволит в реальном времени гонять данные.. и отлаживать серьезные вещи. Да, это не для чайника. Но я же написал, как надо. И еще тут человек об этом правильно же написал...
Серьёзные вещи делаются профессионалами на работе, за заработную плату. А профессионалом ещё стать надо, и начинать надо с малого.
Цитата(iosifk @ Jan 22 2014, 22:33)

правда я все время забываю, что тут полно игрунов... для них и светодиод - это вершина...
Мда, мощный аргумент...
lemorus
Jan 22 2014, 20:29
Цитата(Jackov @ Jan 22 2014, 23:00)

Серьёзные вещи делаются профессионалами на работе, за заработную плату. А профессионалом ещё стать надо, и начинать надо с малого.
Согласен, начинать надо с малого, я год со Spartan3 возился, пока чего то начало получаться, сделал измеритель емкости
PCIE это конечно круть, но если с нее начать, можно интерес потерять, все равно что в тренажерном зале сразу 150 взять на грудь, треснет все что может треснуть.
С гантелек надо
Светодиодом поморгать надо, куда же без этого. Но это действительно надоест на второй день. По-моему есть смысл купить для начала самую дешёвую плату с FPGA. Баксов за 10-15. Просто чтобы пощупать железо. Её будет достаточно и выкинуть не жалко. А дальше надо SignalTap изучать. Возможности для обучения грандиозные и совершенно бесплатно. А появятся конкретные проекты, вот тогда можно покупать конкретно под них дорогие платы.
ПЛИС - всего- лишь инструмент..
Тут важно понять его возможности, методы моделирования и грамотного синхронного проектирования. Опыт придет не сразу. Я бы советовал идти от МатЛаба. От модели. Если вы с контроллерами на короткой ноге,- стоит соорудить тандем для генерации нужного входного и выходного потока данных для ПЛИС. Математика прежде всего! Скучно уже не будет! =)
Цитата(slog @ Jan 23 2014, 09:40)

А дальше надо SignalTap изучать.
А это еще зачем? Еще ни одного проекта не было, чтобы там был настолько тяжелый случай, чтобы подключать и разбираться в нем. Совершенно лишняя сущность (пока совсем не приперло).
Надо изучать написание тестбенчей и моделирование своего кода, а в ПЛИС оно само собой будет работать потом. Так что, какую плату брать - просто любую, которая с виду понравилась.
ЗЫ я бы посоветовал -
http://www.digikey.com/product-detail/en/L...1167-ND/2674690хотите, на столе светодиодом поморгать, хотите, PCIe, хотите, DDR3... Любой каприз за 300 баксов.
lemorus
Jan 23 2014, 07:37
Цитата(SM @ Jan 23 2014, 11:22)

А это еще зачем? Еще ни одного проекта не было, чтобы там был настолько тяжелый случай, чтобы подключать и разбираться в нем. Совершенно лишняя сущность (пока совсем не приперло).
А меня вот как то приперло, надо было написать интерфейс к внешнему устройству, параллельный, все просто до безобразия, данные, строб, клок. А вот отсылаю данные и не работает. Не отвечает хоть тресни. Уже все перепроверили, и только потому что постоянно смотрел в диаграммы SignalTap увидел, устройство на передачу постоянно держит "дежурное значение", которое отлично от нуля. Ради интереса вместо нулей, на передачу вставил пустое вот то дежурное значение, и все заработало.
Так что без Signal Tap никак не получилось бы. Уверен очень нужная вещь.
Цитата(lemorus @ Jan 23 2014, 11:37)

Так что без Signal Tap никак не получилось бы
Как я понимаю, такая ситуация обычным осциллом отлавливается в шесть секунд, для этого же не требуется мониторить внутренние сигналы. Да и по опыту, обычно достаточно бывает вывести на плате штук 6-8 тест-падов и при необходимости выводить туда какие-то тест сигналы для наблюдения человеческим прибором... Быстрее и эффективнее. В любом случае, сигналтап это не то, что надо изучать, пока не припрет ну уж совсем.
Для начала работы с ПЛИС вариант DE0-Nano мне больше нравится. У самого валяется подобная плата, но только просто DE0 (без нано).
Отлаживать на ней не очень быстрые и навороченные проекты можно вполне комфортно.
Но мне и железо и софт у Xilinx более симпатичны.
Начать я посоветовал бы с простейших проектов и базовых структур и сопоставления того, что записано в виде HDL-кода с тем, что получается после синтеза и после разводки проекта.
Разберитесь с особенностями описания, прочувствуйте железо, посмотрите на свой проект в разных редакторах на разных уровнях абстракций, чтобы понимать как некоторое HDL-описание соотносится с аппаратной реализацией.
Напишите тестбенчи для всех вариантов.
Обязательно посмотрите на то, что будет получаться при синтезе, если не соблюдать требования стандартов и отступать от шаблонов описания базовых структур.
Разберитесь с тем, каким образом достигается синхронность проектов и какой стиль проектирования для этого используется.
Для синхронных проектов обязательно разберитесь с PLL, конфигурированием, режимами работы.
Torpeda
Feb 9 2014, 14:12
Цитата(di4zerus @ Jan 22 2014, 18:43)

Знающие люди, подскажите со своей профессиональной точки зрения, с чего лучше стартануть?
Может есть ещё какие-нибудь варианты? (кроме самопала, FTDRL для меня тяжеловат был в пайке. Такое дома делаю не часто. Только затяжной проект.)
Єто смотря какой ваш начальній уровень
Если ви есче не делали проектов на Verilog\VHDL то советую начать с установки симулятора (ModelSim напр) и пробовать опиивать устройства. Также установите SP&R тулзи типа Quartus (синтез, плейс, роут) и проверте качество кода там (синтезится-ли он)
Єто как сначала С изучить, перед тем как микроконтроллер програмировать.
Когда уже с Verilog\VHDL и симулятором на ти - то советую не кит покупать (где кто-то разобрался как подать напряжение, сброс, клок и тп.) а начать паять самомтоятельно, попутно розбираясь в требованиях к железу...
AlexeyDeveloper
Apr 14 2014, 03:36
Уважаемые господа ПЛИСоводы! В силу проффесиональных обязаностей возникла задачка для решения которой потребовалось применение ПЛИС. Так как до этого момента опыта работы с микросхемами программируемой логики не было приходится разбираться.
По сути проект не сложный, но отсутствие опыта сказывается на времени его реализации. Худо-бедно задача решается, к сожалению , в случае затруднений, обратиться за советом не к кому так как отсутствуют специалисты в данной области, вот и прошу у знающих людей совета...
Затруднение вызвал следующий момент:
Есть некий процесс, который запускается от некоторого сигнала, после чего по тактовым сигналам происходит выполнение данного процесса.
Т.е. в списке чувствительности процесса 2 сигнала- clock (такты) и Enable (разрешение)
в данный момент решил задачу сл. образом:
pp: process (clock, Enable)
begin
if (Enable='0') then
Start<=not Enable after 10 ns;
elsif (rising_edge(clock) and Start='1') then
...........................
код
...........................
end if;
end process;
Start- флаг сдитетельствующий о приеме сигнала разрешения процесса
если переписываю код сл. образом, то синтеза не происходит, появляются ошибки типа 'сигнал не может быть синтезирован из-за плохой синхронизации'
pp: process (clock, Enable)
begin
if (falling_edge (Enable)) then
Start<=1;
elsif (rising_edge(clock) and Start='1') then
...........................
код
...........................
end if;
end process;
какие еще могут быть варианты, когда в списке чувствительности процесса 2 сигнала- тактовые и сигнал запуска?
заранее благодарен!
iosifk
Apr 14 2014, 03:50
Цитата(AlexeyDeveloper @ Apr 14 2014, 07:36)

Т.е. в списке чувствительности процесса 2 сигнала- clock (такты) и Enable (разрешение)
в данный момент решил задачу сл. образом:
pp: process (clock, Enable)
begin
if (Enable='0') then
Start<=not Enable after 10 ns;
какие еще могут быть варианты, когда в списке чувствительности процесса 2 сигнала- тактовые и сигнал запуска?
заранее благодарен!
Вот это: Start<=not Enable after 10 ns; несинтезируемая конструкция
В списке Enable быть не должно...
AlexeyDeveloper
Apr 14 2014, 03:56
Как же тогда узнать что Enable "случился"?
iosifk
Apr 14 2014, 04:07
Цитата(AlexeyDeveloper @ Apr 14 2014, 07:56)

Как же тогда узнать что Enable "случился"?
Каждый клок запускается процесс... А Enable должен быть выставлен до клока...
Если есть вопросы - то могу ответить по скайпу, а колотить буквы - лень...
AlexeyDeveloper
Apr 14 2014, 04:16
спасибо большое за ответы, к сожалению скайп у меня отсутствует...
дело в том, что сигнал запуска-Enable является асинхронным, он может появиться в любой момент времени и никак не привязан к clockАМ... это всего-лишь импульс, продолжительностью несколько микросекунд (переход из '1' в '0' несколько микросекунд в нуле, а далее вновь '1') Так вот, именно этот импульс необходимо обнаружить, а далее процесс выполняется по clockАМ.
gibson1980
Apr 14 2014, 05:35
Код
if (Enable = '1' and t_Enable = '0') then
start_Enable <= '1';
else
start_Enable <= '0';
end if;
t_Enable <= Enable; //задержка Enable на один такт
где srart_Enable - единичный импульс синхронизированный с тактовой сеткой от которой работает процесс.
iosifk
Apr 14 2014, 07:19
Цитата(AlexeyDeveloper @ Apr 14 2014, 08:16)

спасибо большое за ответы, к сожалению скайп у меня отсутствует...
дело в том, что сигнал запуска-Enable является асинхронным, он может появиться в любой момент времени и никак не привязан к clockАМ... это всего-лишь импульс, продолжительностью несколько микросекунд (переход из '1' в '0' несколько микросекунд в нуле, а далее вновь '1') Так вот, именно этот импульс необходимо обнаружить, а далее процесс выполняется по clockАМ.
Тогда читайте у меня на сайте "Краткий курс", глава о пересечении клоковых доменов (CDC)...
А поставить скайп - это проблема?
AlexeyDeveloper
Apr 16 2014, 08:43
Уважаемый iosifk, прочитав рекомендованную вами статью многое прояснилось, после чего добавил в свой код простейший синхронизатор получил то что мне требовалось! огромное спасибо!
Maverick
Apr 16 2014, 09:09
Цитата(AlexeyDeveloper @ Apr 14 2014, 06:36)

пример триггера
Код
----Триггеры, тактируемые передним фронтом с асинхронным сбросом и разрешением тактового сигнала (Rising Edge Filp-Flop with Asynchronous Reset and Clock Enable)
library IEEE;
use IEEE.std_logic_1164.all;
entity dff_ck_en is
port (data, clk, reset, en : in std_logic;
q :out std_logic);
end dff_ck_en;
architecture behav of dff_ck_en is
begin
process (clk, reset) begin
if (reset = '0') then
q <= '0';
elsif (clk'event and clk = '1') then
if (en = '1') then
q <= data;
end if;
end if;
end process;
end behav;
насчет сброса - он также может быть синхронным (выбор за Вами).
Совет придерживайтесь рекомендаций по описанию для синтеза.
iosifk
Apr 16 2014, 09:59
Цитата(AlexeyDeveloper @ Apr 16 2014, 12:43)

Уважаемый iosifk, прочитав рекомендованную вами статью многое прояснилось, после чего добавил в свой код простейший синхронизатор получил то что мне требовалось! огромное спасибо!
Может быть Вам нужны персональные занятия по ПЛИС?
Maverick
Apr 16 2014, 10:19
Цитата(iosifk @ Apr 16 2014, 12:59)

Может быть Вам нужны персональные занятия по ПЛИС?
AlexeyDeveloper
Apr 16 2014, 12:00
to Maverick
спасибо...код который вы написали встречается в каждой книжке Бибило...
с этим вроде все понятно...
просто я заплутал в трех соснах, так как данная тема (написание кода на VHDL) для меня малознакома, после прочтения статьи iosifkА задачу решил...еще раз спасибо за НЕРАВНОДУШИЕ!
AlexeyDeveloper
Apr 22 2014, 09:24
Цитата(iosifk @ Apr 16 2014, 13:59)

Может быть Вам нужны персональные занятия по ПЛИС?
Спасибо за предложение. В связи с этим хотелось бы получить ответы на следующие вопросы:
1.Формат курсов?
2.Что в Ваших курсах есть такого, чего нету в Ваших статьях и книжках Бибило, Суворовой?
3 и др. Ваши условия!?
подробности можно на мейл postbox-lexa@ya точка ru
Цитата(iosifk @ Apr 16 2014, 13:59)

Может быть Вам нужны персональные занятия по ПЛИС?
А может группу соберем?
Цитата(di4zerus @ Jan 22 2014, 18:43)

Знающие люди, подскажите со своей профессиональной точки зрения, с чего лучше стартануть?
Жаль, не могу причислить себя к знающим, но мне довольно легко удалось стартануть с платы Марсоход2 -
http://marsohod.org/Можно заказать устройство почтой, но за скорость и качество доставки ничего сказать не могу - ездил за платой сам.
Устройство весьма увлекательное и хорошо подходит для изучения основ HDL.
Для просмотра полной версии этой страницы, пожалуйста,
пройдите по ссылке.