Цитата(Stewart Little @ Sep 9 2016, 02:01)

Работа с UFM в MAX II тактируется внутренним RC-генератором, его и описывайте/констрейните.
Спасибо. Решилось так (для начала): derive_pll_clocks в исходном sdc файле дало
Info (332110): Deriving PLL clocks
Info (332110): create_clock -period 181.818 -name {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc} {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc}
откуда было взято для скорректированного sdс
create_clock -period 181.818 -name {ufm_osc} {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc}
и
set_false_path -from [all_inputs] -to [get_clocks {ufm_osc}]
set_false_path -from [get_clocks {ufm_osc}] -to [all_outputs]
Однако появились предупреждения о нарушении

и в виде отчёта - в скрепке. Просьба подсказать, куда рыть дальше?
Сообщение отредактировал AnatolySh - Sep 11 2016, 23:17