реклама на сайте
подробности

 
 
 
Reply to this topicStart new topic
> Timing Constraints, Для UFM в Altera MAX
AnatolySh
сообщение Sep 7 2016, 22:51
Сообщение #1


Частый гость
**

Группа: Свой
Сообщений: 92
Регистрация: 20-01-06
Из: Зеленоград
Пользователь №: 13 407



Доброго всем!

Из некоего проекта, где присутствует UFM, вынес его одного наверх и хочу обконстрейнить. Для этого требуется указать частоту, относительно которой плясать.

Как написано уважаемыми авторами в документе TimeQuest для чайников, к коим (чайникам) я себя всецело причисляю, эту частоту (её имя) можно запросить посредством derive_pll_clocks, что, вроде бы, и делается в проекте. Однако, попытка указать оную в качестве опоры для констрейнов (пусть и false_path) приводит к ругающемуся TimeQuest Analizer-у.

Конечная цель - получить в табличке Unconstrained Paths для рассматриваемого проекта все нули.
Просьба направить в правильном направлении.
Прикрепленные файлы
Прикрепленный файл  ufm.rar ( 7.88 килобайт ) Кол-во скачиваний: 22
 


--------------------
WMBR
Go to the top of the page
 
+Quote Post
Stewart Little
сообщение Sep 8 2016, 23:01
Сообщение #2


Лентяй
******

Группа: Свой
Сообщений: 2 203
Регистрация: 11-10-04
Из: Санкт-Петербург
Пользователь №: 843



Цитата(AnatolySh @ Sep 8 2016, 01:51) *
... эту частоту (её имя) можно запросить посредством derive_pll_clocks, что, вроде бы, и делается в проекте....

Начнем с того, что в MAX II нет PLL'ей от слова "совсем".
Работа с UFM в MAX II тактируется внутренним RC-генератором, его и описывайте/констрейните.


--------------------
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...
Go to the top of the page
 
+Quote Post
AnatolySh
сообщение Sep 11 2016, 22:20
Сообщение #3


Частый гость
**

Группа: Свой
Сообщений: 92
Регистрация: 20-01-06
Из: Зеленоград
Пользователь №: 13 407



Цитата(Stewart Little @ Sep 9 2016, 02:01) *
Работа с UFM в MAX II тактируется внутренним RC-генератором, его и описывайте/констрейните.

Спасибо. Решилось так (для начала): derive_pll_clocks в исходном sdc файле дало
Info (332110): Deriving PLL clocks
Info (332110): create_clock -period 181.818 -name {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc} {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc}

откуда было взято для скорректированного sdс

create_clock -period 181.818 -name {ufm_osc} {inst|ufm_altufm_parallel_jjm_component|maxii_ufm_block1|osc}
и
set_false_path -from [all_inputs] -to [get_clocks {ufm_osc}]
set_false_path -from [get_clocks {ufm_osc}] -to [all_outputs]

Однако появились предупреждения о нарушении



и в виде отчёта - в скрепке. Просьба подсказать, куда рыть дальше?

Сообщение отредактировал AnatolySh - Sep 11 2016, 23:17
Прикрепленные файлы
Прикрепленный файл  ufmt_Hold__ufm_osc_.rar ( 2.07 килобайт ) Кол-во скачиваний: 17
 


--------------------
WMBR
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 21st July 2025 - 09:27
Рейтинг@Mail.ru


Страница сгенерированна за 0.01368 секунд с 7
ELECTRONIX ©2004-2016