реклама на сайте
подробности

 
 
14 страниц V  « < 10 11 12 13 14 >  
Reply to this topicStart new topic
> Редакторы HDL, альтернатива
RobFPGA
сообщение Nov 19 2013, 13:35
Сообщение #166


Профессионал
*****

Группа: Свой
Сообщений: 1 214
Регистрация: 23-12-04
Пользователь №: 1 643



Приветствую!

Создайте переменную окружения SLICKEDITCONFIG=далеко_туда/где_будет_конфиг/

Соответственно при запуске SLickEdit будет работать с конфигом по указанному пути

Успехов! Rob.

Go to the top of the page
 
+Quote Post
_Anatoliy
сообщение Nov 19 2013, 13:57
Сообщение #167


Утомлённый солнцем
******

Группа: Свой
Сообщений: 2 646
Регистрация: 15-07-06
Из: г.Донецк ДНР
Пользователь №: 18 832



Цитата(RobFPGA @ Nov 19 2013, 15:35) *
Приветствую!

Создайте переменную окружения SLICKEDITCONFIG=далеко_туда/где_будет_конфиг/

Соответственно при запуске SLickEdit будет работать с конфигом по указанному пути

Успехов! Rob.

Большое спасибо,так гораздо лучше. cheers.gif
Go to the top of the page
 
+Quote Post
Cordroy
сообщение Dec 31 2013, 14:06
Сообщение #168


Участник
*

Группа: Свой
Сообщений: 65
Регистрация: 13-09-10
Из: Israel
Пользователь №: 59 464



Всем здравствуйте,

Хотел спросить совета насчет редактора больших файлов (200MB..3GB).

Речь идет о просмотре/редактировании нетлистов (Verilog) и SDF аннотаций. Т.е. идеально было бы с подсветкой кода (кастомного тоже).
Файлы открываются с сетевого расположения и желательно иметь несколько на виду. Уф.

Вообще для кода использую Notepad++, но он ужасно работает с большими файлами. А также, сильно тормозит когда файлы открыты из сети.

Пока что брал EditPad Lite для больших файлов. Вроде неплохой, но подстветки кода нет, редактирования колонками нет, часто вылетает и т.п.

Посоветуете что-нибудь в альтернативу?..

Заранее спасибо!
Go to the top of the page
 
+Quote Post
dmitry-tomsk
сообщение Sep 5 2014, 15:37
Сообщение #169


Знающий
****

Группа: Свой
Сообщений: 672
Регистрация: 18-02-05
Пользователь №: 2 741



Подскажите как в emacs vhdl mode сделать форматирование по buitify вот так

Код
   COMPONENT axi_adc_fifo
   PORT (
      m_aclk        : IN  std_logic;
      s_aclk        : IN  std_logic;
      s_aresetn     : IN  std_logic;
      s_axis_tvalid : IN  std_logic;
      s_axis_tready : OUT std_logic;
      s_axis_tdata  : IN  std_logic_vector(63 DOWNTO 0);
      m_axis_tvalid : OUT std_logic;
      m_axis_tready : IN  std_logic;
      m_axis_tdata  : OUT std_logic_vector(63 DOWNTO 0)
   );
   END COMPONENT axi_adc_fifo;



а не так, как по умолчанию
Код
   COMPONENT axi_adc_fifo
      PORT (
         m_aclk        : IN  std_logic;
         s_aclk        : IN  std_logic;
         s_aresetn     : IN  std_logic;
         s_axis_tvalid : IN  std_logic;
         s_axis_tready : OUT std_logic;
         s_axis_tdata  : IN  std_logic_vector(63 DOWNTO 0);
         m_axis_tvalid : OUT std_logic;
         m_axis_tready : IN  std_logic;
         m_axis_tdata  : OUT std_logic_vector(63 DOWNTO 0));
   END COMPONENT axi_adc_fifo;
Go to the top of the page
 
+Quote Post
gotcha
сообщение Jan 13 2015, 11:52
Сообщение #170


Частый гость
**

Группа: Свой
Сообщений: 115
Регистрация: 19-03-06
Пользователь №: 15 389



Sublime text 3 (http://www.sublimetext.com/3)
+ System Verilog plugin (https://packagecontrol.io/packages/SystemVerilog)
Go to the top of the page
 
+Quote Post
Fitc
сообщение May 29 2015, 09:27
Сообщение #171


Частый гость
**

Группа: Свой
Сообщений: 80
Регистрация: 21-10-11
Пользователь №: 67 894



Кстати в Notepad появилась полноценная подсветка для языка SystemVerilog
Go to the top of the page
 
+Quote Post
7777777alex
сообщение Oct 21 2015, 10:44
Сообщение #172





Группа: Участник
Сообщений: 11
Регистрация: 7-08-14
Пользователь №: 82 490



Плюс за
windows => Nodepad++
linux => Kate

И то и другое можно удобно настроить под себя.
И там и там пользуюсь HDL Designer + редактор. В редакторе Designer удобно добавлять модули методом drug drop из библиотеки. Все остальное редактирование в Kate или в Notepad++.
Go to the top of the page
 
+Quote Post
Кнкн
сообщение Oct 30 2015, 10:25
Сообщение #173


Знающий
****

Группа: Свой
Сообщений: 646
Регистрация: 21-06-04
Пользователь №: 71



Для slickedit подправленный колоризатор для SV + UVM
Прикрепленный файл  sv.7z ( 9.19 килобайт ) Кол-во скачиваний: 63



Go to the top of the page
 
+Quote Post
spectr
сообщение Oct 30 2015, 10:32
Сообщение #174


Местный
***

Группа: Свой
Сообщений: 285
Регистрация: 10-12-04
Из: Earth
Пользователь №: 1 437



О, а кто-нибудь заморачивался с тем, чтобы сликедит научить подсвечивать отдельным цветом порты? А то по умолчанию он порты и параметры выделяет одним цветом...
Go to the top of the page
 
+Quote Post
Мур
сообщение Feb 17 2016, 13:25
Сообщение #175


Знающий
****

Группа: Свой
Сообщений: 815
Регистрация: 7-06-06
Из: Харьков
Пользователь №: 17 847



http://www.vlsiacademy.org/open-source-cad-tools.html
Go to the top of the page
 
+Quote Post
estel23
сообщение Mar 30 2016, 14:49
Сообщение #176


Частый гость
**

Группа: Участник
Сообщений: 76
Регистрация: 30-09-13
Пользователь №: 78 536



Здравствуйте. Может не в той теме пишу, модераторы поправят.
Уважаемые, есть ли в природе инфа по Vivado на русском языке? Какие-то ресурсы, сайты, руководства. С чего начинать вообще?
Go to the top of the page
 
+Quote Post
iosifk
сообщение Mar 30 2016, 14:57
Сообщение #177


Гуру
******

Группа: Модераторы
Сообщений: 4 011
Регистрация: 8-09-05
Из: спб
Пользователь №: 8 369



Цитата(estel23 @ Mar 30 2016, 17:49) *
Здравствуйте. Может не в той теме пишу, модераторы поправят.
Уважаемые, есть ли в природе инфа по Vivado на русском языке? Какие-то ресурсы, сайты, руководства. С чего начинать вообще?

www.kit-e.ru
архив статей
Зотов
Тарасов


--------------------
www.iosifk.narod.ru
Go to the top of the page
 
+Quote Post
estel23
сообщение Mar 31 2016, 13:35
Сообщение #178


Частый гость
**

Группа: Участник
Сообщений: 76
Регистрация: 30-09-13
Пользователь №: 78 536



Цитата(iosifk @ Mar 30 2016, 18:57) *
www.kit-e.ru
архив статей
Зотов
Тарасов

Спасибо. Это надо журналы покупать. На сайте большинства статей нет.
Go to the top of the page
 
+Quote Post
Flip-fl0p
сообщение Nov 5 2016, 17:52
Сообщение #179


В поисках себя...
****

Группа: Свой
Сообщений: 729
Регистрация: 11-06-13
Из: Санкт-Петербург
Пользователь №: 77 140



Добрый день уважаемые форумчане. Почитал я немного ветку по редакторам HDL описаний. И возник у меня насущный вопрос. А если ли какое "хитрое" средство, которое создано для ленивых. Поясню, что я имею ввиду.
Допустим у меня большой проект, где применяется куча самописных модулей/компонентов (в VHDL - Entity т.е сущностей).
Далее я на таком вот примитивном примере буду показывать, как я поступаю, работая с языком VHDL. В Verilog может быть по-другому.

Есть 3 модуля/компонента, где каждый - отдельный файл.
Первый компонент:
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TWO_INPUT_OR IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE TWO_INPUT_OR_arc OF TWO_INPUT_OR IS
BEGIN
Q <= A OR B;
END ARCHITECTURE;


Второй компонент.
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TWO_INPUT_AND IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE TWO_INPUT_AND_arc OF TWO_INPUT_AND IS
BEGIN
Q <= A AND B;
END ARCHITECTURE;


Третий компонент.
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TWO_INPUT_XOR IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE TWO_INPUT_XOR_arc OF TWO_INPUT_XOR IS
BEGIN
Q <= A XOR B;
END ARCHITECTURE;


Все вместе они реализуют такую логическую функцию:


Вот отдельный файл верхнего уровня схемы, где я соединяю все ранее созданные компоненты, для реализации нужной мне лог. функции.
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MY_PROJECT IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
C : IN STD_LOGIC;
D : IN STD_LOGIC;
F : OUT STD_LOGIC
);
END ENTITY;

ARCHITECTURE MY_PROJECT_arc OF MY_PROJECT IS
COMPONENT TWO_INPUT_OR IS -- Декларация компонента TWO_INPUT_OR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_AND IS -- Декларация компонента TWO_INPUT_AND
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_XOR IS -- Декларация компонента TWO_INPUT_XOR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;
SIGNAL OR_OUT_TO_XOR :STD_LOGIC;
SIGNAL AND_OUT_TO_XOR :STD_LOGIC;
BEGIN
------------------------------------------
OR_COMP : TWO_INPUT_OR
PORT MAP
(
A => A,
B => B,
Q => OR_OUT_TO_XOR
);
------------------------------------------
AND_COMP : TWO_INPUT_AND
PORT MAP
(
A => C,
B => D,
Q => AND_OUT_TO_XOR
);
------------------------------------------
XOR_COMP : TWO_INPUT_XOR
PORT MAP
(
A => OR_OUT_TO_XOR,
B => AND_OUT_TO_XOR,
Q => F
);
END ARCHITECTURE;


Для того, чтобы в VHDL в одном компоненте применить другой компонент, ранее написанный, необходимо применяемый компонент объявить в архитектурном теле. Так-же в VHDL допускается не объявлять компонент в архитектурном теле, а объявить компонент в файле пакета (PACKAGE):
CODE
LIBRARY IEEE ;
USE IEEE.STD_LOGIC_1164.ALL ;
PACKAGE MY_PROJECT_PACK IS

COMPONENT TWO_INPUT_OR IS -- Декларация компонента TWO_INPUT_OR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_AND IS -- Декларация компонента TWO_INPUT_AND
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;

COMPONENT TWO_INPUT_XOR IS -- Декларация компонента TWO_INPUT_XOR
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
Q : OUT STD_LOGIC
);
END COMPONENT;
END MY_PROJECT_PACK;


Это позволит несколько сократить количество строк модуля верхнего уровня, что повысит его читаемость. В модуле верхнего уровня достаточно будет объявить только декларацию пакета. И соединить порты модулей:
CODE
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE WORK.MY_PROJECT_PACK.ALL;

ENTITY MY_PROJECT IS
PORT
(
A : IN STD_LOGIC;
B : IN STD_LOGIC;
C : IN STD_LOGIC;
D : IN STD_LOGIC;
F : OUT STD_LOGIC
);
END ENTITY;

ARCHITECTURE MY_PROJECT_arc OF MY_PROJECT IS
SIGNAL OR_OUT_TO_XOR : STD_LOGIC;
SIGNAL AND_OUT_TO_XOR : STD_LOGIC;
BEGIN
------------------------------------------
OR_COMP : TWO_INPUT_OR
PORT MAP
(
A => A,
B => B,
Q => OR_OUT_TO_XOR
);
------------------------------------------
AND_COMP : TWO_INPUT_AND
PORT MAP
(
A => C,
B => D,
Q => AND_OUT_TO_XOR
);
------------------------------------------
XOR_COMP : TWO_INPUT_XOR
PORT MAP
(
A => OR_OUT_TO_XOR,
B => AND_OUT_TO_XOR,
Q => F
);
END ARCHITECTURE;


Вроде всё получается складно. Но проблемы появляться, когда может потребоваться внесение изменений в какой либо из ранее описанных компонентов. Например добавил ещё один порт в один из модулей, или изменил разрядность какой либо шины. Вот тут и появляется самое большое неудобство, поскольку для изменения одного компонента мне потребуется:
1. Изменить файл описания модуля.
2. Изменить файл пакета, где описываются порты изменяемого модуля.
3. В файле где применяется этот компонент добавить\изменить выходные\выходные порты.

Если честно это доставляет сильный дискомфорт. И хотелось бы, чтобы часть рутины на себя взяло программное обеспечение.

На данный момент я пользуюсь бесплатным редактором NOTEPAD ++ . Удобная вещь. Понимает кучу синтаксисов, большое количество настроек. Множество плагинов. Возможность создавать свои шаблоны (сниппеты). Но вот дальше продвинутого блокнота "для программистов" он не ушел. А хочется найти гибкий инструмент, заточенный под HDL, избавляющий от рутины, описанной выше.

Так-же мне очень не хватает проверки синтаксиса "на лету". Например я забыл поставить где-нибудь скобку, или точку с запятой, а редактор подсветил этот косяк.
Существуют ли подобные HDL- редакторы ? Ну и хотелось бы, чтобы у редактора были гибкие настройки подсветки синтаксиса. К сожалению не каждый редактор может этим похвастаться

Сообщение отредактировал Flip-fl0p - Nov 5 2016, 18:12
Go to the top of the page
 
+Quote Post
Maverick
сообщение Nov 5 2016, 19:55
Сообщение #180


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(Flip-fl0p @ Nov 5 2016, 19:52) *

попробуйте это(платный)


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post

14 страниц V  « < 10 11 12 13 14 >
Reply to this topicStart new topic
2 чел. читают эту тему (гостей: 2, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 21st July 2025 - 13:05
Рейтинг@Mail.ru


Страница сгенерированна за 0.01492 секунд с 7
ELECTRONIX ©2004-2016