реклама на сайте
подробности

 
 
> PISO VHDL, PISO VHDL
MAXHAX
сообщение Apr 12 2018, 12:16
Сообщение #1





Группа: Участник
Сообщений: 8
Регистрация: 10-01-18
Пользователь №: 100 998



Всем день добрый. Необходима некоторая помощь с регистром, т.к. я уже не могу найти где моя ошибка.
CODE
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity shift is
Port ( clk : in STD_LOGIC;
reset: in STD_LOGIC;
load : in STD_LOGIC;
shift: in STD_LOGIC;
D : in STD_LOGIC_VECTOR (7 downto 0);
Q : out STD_LOGIC);
end shift;

architecture Behavioral of shift is

signal sr: std_logic_vector(7 downto 0) := (others=>'0');

begin

process (clk,load,D,)
begin
if (load = '1') then
sr <= D;
elsif (clk'event and clk = '1') then
if (shift = '1') then
sr <= sr(0) & sr(7 downto 1);
end if;
end if;
end process;

Q <= sr(0);

end Behavioral;

В общем проблема состоит в том, что на выходе выводит неверный сигнал. На скрине я выделил лишнее, т.е. он заканчивает этот сигнал по спаду, хотя должен по фронту по сути идти



Да и второй сигнал тоже смещен на 1 такт по clk
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- MAXHAX   PISO VHDL   Apr 12 2018, 12:16
- - Burenkov Sergey   У вас timing simulation, сделайте behavioral С код...   Apr 12 2018, 12:47
- - iosifk   Цитата(MAXHAX @ Apr 12 2018, 15:16) begin...   Apr 12 2018, 12:48
|- - MAXHAX   Цитата(iosifk @ Apr 12 2018, 15:48) У Вас...   Apr 12 2018, 12:59
|- - Burenkov Sergey   Цитата(MAXHAX @ Apr 12 2018, 15:59) В ква...   Apr 12 2018, 13:03
||- - MAXHAX   Цитата(Burenkov Sergey @ Apr 12 2018, 16...   Apr 12 2018, 13:20
||- - Tausinov   Цитата(MAXHAX @ Apr 12 2018, 16:20) тепер...   Apr 12 2018, 13:24
|||- - MAXHAX   Цитата(Tausinov @ Apr 12 2018, 16:24) Поч...   Apr 12 2018, 13:31
|||- - Burenkov Sergey   Цитата(MAXHAX @ Apr 12 2018, 16:31) мне н...   Apr 12 2018, 13:33
|||- - MAXHAX   Цитата(Burenkov Sergey @ Apr 12 2018, 16...   Apr 12 2018, 13:58
|||- - iosifk   Цитата(MAXHAX @ Apr 12 2018, 16:58) ок, а...   Apr 12 2018, 14:01
|||- - MAXHAX   Цитата(iosifk @ Apr 12 2018, 17:01) А что...   Apr 12 2018, 14:07
|||- - iosifk   Цитата(MAXHAX @ Apr 12 2018, 17:07) от вс...   Apr 12 2018, 14:12
||- - Burenkov Sergey   Цитата(MAXHAX @ Apr 12 2018, 16:20) тепер...   Apr 12 2018, 13:24
|- - iosifk   Цитата(MAXHAX @ Apr 12 2018, 15:59) Shift...   Apr 12 2018, 13:11
- - Tausinov   На первый взгляд, никакого криминала в коде нет. Е...   Apr 12 2018, 12:49
- - Tausinov   Цитата(MAXHAX @ Apr 12 2018, 16:31) мне н...   Apr 12 2018, 14:09


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 27th July 2025 - 20:30
Рейтинг@Mail.ru


Страница сгенерированна за 0.01348 секунд с 7
ELECTRONIX ©2004-2016