реклама на сайте
подробности

 
 
> Алтера: описание внешнего такта, TimeQuest, Как корректно описать такт в SDC?
solidreg
сообщение Jan 23 2010, 13:10
Сообщение #1


Участник
*

Группа: Свой
Сообщений: 74
Регистрация: 24-10-05
Пользователь №: 10 056



Нужна помощ с описанием внешнего такта в SDC языке для TimeQuest (Altera)
У меня PLL генерирует 200MHz и 50MHz такты. Этот 200MHz идёт сразу на внешний чип, каторый делит этот такт на два, и через кокое то время (скажем, 3nS) посупает опять на FPGA. И потом этот сигнал пользуется как такт в внутренних цепях.
Нарисовал картинку чтоб было бы ясно.


Написал так:
Код
create_generated_clock -source <..PLL> -name MHz50
create_clock -period 10.000 -name MHz100 [get_ports {Clk2}]

Но как правильно описать эту задержку (фазу)?
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- solidreg   Алтера: описание внешнего такта, TimeQuest   Jan 23 2010, 13:10
- - des333   Я не специалист по TQ, но, на мой взгляд, Вам след...   Jan 23 2010, 13:22
|- - solidreg   Цитата(des333 @ Jan 23 2010, 16:22) Я не ...   Jan 23 2010, 13:47
- - des00   Цитата(des333 @ Jan 23 2010, 07:22) Я не ...   Jan 23 2010, 15:34
|- - solidreg   Цитата(des00 @ Jan 23 2010, 19:34) Еще мн...   Jan 23 2010, 15:47
||- - des00   ЦитатаЗадержка там фиксированна, окола 3-5nS. Она ...   Jan 23 2010, 15:53
|- - des333   Цитата(des00 @ Jan 23 2010, 18:34) create...   Jan 23 2010, 16:56
|- - des00   Цитата(des333 @ Jan 23 2010, 10:56) Так в...   Jan 23 2010, 17:40
|- - des333   Цитата(des00 @ Jan 23 2010, 20:40) 200 вы...   Jan 23 2010, 18:49
|- - SM   Цитата(des00 @ Jan 23 2010, 20:40) 200 вы...   Jan 23 2010, 19:11
|- - solidreg   Цитата(SM @ Jan 23 2010, 23:11) SDC: Кодc...   Jan 23 2010, 19:38
- - des00   Цитата(des333 @ Jan 23 2010, 12:49) А так...   Jan 24 2010, 05:29
- - des333   Если добавить строчку: Кодset_clock_latency -sourc...   Jan 24 2010, 07:22
- - des00   Цитата(des333 @ Jan 24 2010, 01:22) Если ...   Jan 24 2010, 07:35
- - des333   Цитата(des00 @ Jan 24 2010, 10:35) Точно,...   Jan 24 2010, 07:41
- - des00   Цитата(des333 @ Jan 24 2010, 01:41) Возмо...   Jan 24 2010, 07:47
- - SM   Цитата(des333 @ Jan 24 2010, 10:41) Возмо...   Jan 24 2010, 08:17
- - des00   Цитата(SM @ Jan 24 2010, 02:17) Разработч...   Jan 24 2010, 10:05
- - des333   Цитата(SM @ Jan 24 2010, 11:17) Разработч...   Jan 24 2010, 15:20
- - SM   Цитата(des333 @ Jan 24 2010, 18:20) В чем...   Jan 24 2010, 18:15
- - des333   Цитата(SM @ Jan 24 2010, 21:15) Ну я разн...   Jan 24 2010, 20:19
- - SM   Цитата(des333 @ Jan 24 2010, 23:19) Вопро...   Jan 25 2010, 22:55
- - des00   Цитата(SM @ Jan 25 2010, 16:55) ХЗ. Глюк ...   Jan 26 2010, 04:41


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th July 2025 - 05:07
Рейтинг@Mail.ru


Страница сгенерированна за 0.01382 секунд с 7
ELECTRONIX ©2004-2016