реклама на сайте
подробности

 
 
10 страниц V  « < 4 5 6 7 8 > »   
Reply to this topicStart new topic
> QUARTUS, MODELSIM, Проект по переводу документации
naliwator
сообщение Jan 25 2010, 08:06
Сообщение #76


Частый гость
**

Группа: Свой
Сообщений: 146
Регистрация: 11-08-08
Из: Kolpino
Пользователь №: 39 551



Я смотрю, что тема с переводом документации умерла сама собой.
Последние страницы здесь явно не по теме.

Создал собственный ресурс со своими переводами Altera и Quartus II.
Буду его пополнять, т.к. накопилось.

www.naliwator.narod.ru

И не стреляйте в пианиста....


--------------------
Go to the top of the page
 
+Quote Post
Dima_G
сообщение Jan 25 2010, 08:32
Сообщение #77


Местный
***

Группа: Свой
Сообщений: 279
Регистрация: 2-07-08
Из: Новосибирск
Пользователь №: 38 699



Цитата(naliwator @ Jan 25 2010, 11:06) *
Я смотрю, что тема с переводом документации умерла сама собой.


Естественно, так как переводчики не будут успевать за новыми продуктами. И в итоге, перевод будет на продукты 5-10 летней давности. Оно Вам надо? smile.gif
Мне кажется, было бы полезнее, если бы гуру сделали некий "самоучитель" по ФПГА. Именно, чтоб дать стартовый толчок интересующимся людям. Объяснить типовые ошибки (такие как метастабильность, асинхронщина), показать хорощий стиль проектирования (тестбенчи, констрейны).
В общем, дать небольшой импульс и в правильном направлении.

des00 сделал внушительную часть работы - описал констрейны. Может кто-нибудь возьмется за другую часть работы smile.gif
Go to the top of the page
 
+Quote Post
naliwator
сообщение Jan 26 2010, 08:00
Сообщение #78


Частый гость
**

Группа: Свой
Сообщений: 146
Регистрация: 11-08-08
Из: Kolpino
Пользователь №: 39 551



Цитата(Dima_G @ Jan 25 2010, 11:32) *
Естественно, так как переводчики не будут успевать за новыми продуктами. И в итоге, перевод будет на продукты 5-10 летней давности. Оно Вам надо? smile.gif
Мне кажется, было бы полезнее, если бы гуру сделали некий "самоучитель" по ФПГА. Именно, чтоб дать стартовый толчок интересующимся людям. Объяснить типовые ошибки (такие как метастабильность, асинхронщина), показать хорощий стиль проектирования (тестбенчи, констрейны).
В общем, дать небольшой импульс и в правильном направлении.

des00 сделал внушительную часть работы - описал констрейны. Может кто-нибудь возьмется за другую часть работы smile.gif


Для свободно понимающих английский - конечно не нужно.
Но уверяю вас, даже курс молодого бойца устареет через 5-10 лет.


--------------------
Go to the top of the page
 
+Quote Post
Dima_G
сообщение Jan 26 2010, 08:57
Сообщение #79


Местный
***

Группа: Свой
Сообщений: 279
Регистрация: 2-07-08
Из: Новосибирск
Пользователь №: 38 699



Цитата(naliwator @ Jan 26 2010, 12:00) *
Для свободно понимающих английский - конечно не нужно.
Но уверяю вас, даже курс молодого бойца устареет через 5-10 лет.


Хоровец-Хилл устарел? smile.gif Это классика, а классика - не устаревает. Я предлагал описать "хорошие манеры проектирования " на ФПГА с примерами. Не думаю, что это быстро потеряет актальность.
А английский нужно учить - как минимум на уровне "читать доки со словарем". Иначе все Ваши знания о современной электронике будут "вчерашним днем".
Go to the top of the page
 
+Quote Post
naliwator
сообщение Jan 26 2010, 11:57
Сообщение #80


Частый гость
**

Группа: Свой
Сообщений: 146
Регистрация: 11-08-08
Из: Kolpino
Пользователь №: 39 551



Цитата(Dima_G @ Jan 26 2010, 11:57) *
Хоровец-Хилл устарел? smile.gif Это классика, а классика - не устаревает. Я предлагал описать "хорошие манеры проектирования " на ФПГА с примерами. Не думаю, что это быстро потеряет актальность.
А английский нужно учить - как минимум на уровне "читать доки со словарем". Иначе все Ваши знания о современной электронике будут "вчерашним днем".

Прежде чем затевать полемику - читаем заголовок ветви.


--------------------
Go to the top of the page
 
+Quote Post
naliwator
сообщение Jan 28 2010, 14:20
Сообщение #81


Частый гость
**

Группа: Свой
Сообщений: 146
Регистрация: 11-08-08
Из: Kolpino
Пользователь №: 39 551



Обновил содержание своего ресурса http://naliwator.narod.ru/
Теперь на нём содержатся следующие переводы:
"Временной анализатор Quartus II TimeQuest"
"Инкрементная компиляция в Quartus II для иерархических и командных проектов"
"Вводный курс Quartus II для пользователей Verilog"

Не стреляйте в пианиста ...


--------------------
Go to the top of the page
 
+Quote Post
OLEG_BOS
сообщение Jan 28 2010, 15:26
Сообщение #82


Местный
***

Группа: Свой
Сообщений: 386
Регистрация: 1-12-05
Пользователь №: 11 639



Цитата(naliwator @ Jan 28 2010, 16:20) *
Не стреляйте в пианиста ...

Хорошее дело делаете. smile.gif Только вот жаль что скачивать нужно по-раздельно, а не одним файлом sad.gif

P.S. Хотя вспомнил об уникальной функции DownloadMaster - качать все скопом что есть на странице smile.gif . Но читать тоже будет тяжело раздельно sad.gif
Go to the top of the page
 
+Quote Post
torik
сообщение Feb 1 2010, 13:59
Сообщение #83


Гуру
******

Группа: Свой
Сообщений: 2 113
Регистрация: 1-11-05
Пользователь №: 10 359



Цитата
Обновил содержание своего ресурса http://naliwator.narod.ru/
Теперь на нём содержатся следующие переводы:
"Временной анализатор Quartus II TimeQuest"
"Инкрементная компиляция в Quartus II для иерархических и командных проектов"
"Вводный курс Quartus II для пользователей Verilog"


Спасибо. Это, на мой взгляд, весьма полезное дело. Может переводы быстро устаревают в мелочах и вообще лучше читать оригинал, но текст на русском позволяет гораздо быстрее начать/освоить!


--------------------
Быть. torizin-liteha@yandex.ru
Go to the top of the page
 
+Quote Post
Iptash
сообщение Feb 1 2010, 14:35
Сообщение #84


Профессионал
*****

Группа: Свой
Сообщений: 1 613
Регистрация: 2-09-08
Из: г.Набережные Челны
Пользователь №: 39 936



Цитата(naliwator @ Jan 28 2010, 17:20) *
Обновил содержание своего ресурса http://naliwator.narod.ru/

Спасибо. cheers.gif Успехов тебе.
Go to the top of the page
 
+Quote Post
naliwator
сообщение Feb 3 2010, 12:31
Сообщение #85


Частый гость
**

Группа: Свой
Сообщений: 146
Регистрация: 11-08-08
Из: Kolpino
Пользователь №: 39 551



Обновил содержание своего ресурса http://naliwator.narod.ru/
Теперь на нём содержатся следующие переводы:

"Лучшие примеры для временного анализатора Quartus II TimeQuest"
"Временной анализатор Quartus II TimeQuest"
"Инкрементная компиляция в Quartus II для иерархических и командных проектов"
"Вводный курс Quartus II для пользователей Verilog"


--------------------
Go to the top of the page
 
+Quote Post
torik
сообщение Feb 4 2010, 05:59
Сообщение #86


Гуру
******

Группа: Свой
Сообщений: 2 113
Регистрация: 1-11-05
Пользователь №: 10 359



a14.gif


--------------------
Быть. torizin-liteha@yandex.ru
Go to the top of the page
 
+Quote Post
naliwator
сообщение Feb 24 2010, 11:02
Сообщение #87


Частый гость
**

Группа: Свой
Сообщений: 146
Регистрация: 11-08-08
Из: Kolpino
Пользователь №: 39 551



Обновил содержание своего ресурса http://naliwator.narod.ru/
Теперь на нём содержатся следующие переводы:

"Тактовые сети и PLL в чипах Cyclone III"
"Лучшие примеры для временного анализатора Quartus II TimeQuest"
"Временной анализатор Quartus II TimeQuest"
"Инкрементная компиляция в Quartus II для иерархических и командных проектов"
"Вводный курс Quartus II для пользователей Verilog"


--------------------
Go to the top of the page
 
+Quote Post
naliwator
сообщение Mar 4 2010, 11:41
Сообщение #88


Частый гость
**

Группа: Свой
Сообщений: 146
Регистрация: 11-08-08
Из: Kolpino
Пользователь №: 39 551



Обновил содержание своего ресурса http://naliwator.narod.ru/

"Экосистема отладки в чипе" - обзор средств верификации в Quartus II


--------------------
Go to the top of the page
 
+Quote Post
Visk
сообщение Apr 9 2010, 09:37
Сообщение #89





Группа: Участник
Сообщений: 8
Регистрация: 9-04-10
Из: Россия, Ижевск
Пользователь №: 56 527



Здравствуйте, подскажите какова причина данной ощибки?

Updated modelsim.ini.
#
# vcom -93 -work work {tp.vho}
# Model Technology ModelSim ALTERA vcom 6.5b Compiler 2009.10 Oct 1 2009
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package vital_timing
# -- Loading package vital_primitives
# -- Loading package cycloneiii_atom_pack
# -- Loading package cycloneiii_components
# -- Compiling entity tp
# -- Compiling architecture structure of tp
#
# vlog -vlog01compat -work work +incdir+D:/ALTERA/testproj/simulation/modelsim {D:/ALTERA/testproj/simulation/modelsim/tp_tb.v}
# Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct 1 2009
# -- Compiling module RS
#
# Top level modules:
# RS
#
# vsim -t 1ps +transport_int_delays +transport_path_delays -sdftyp /tp=tp_vhd.sdo -L altera -L cycloneiii -L gate_work -L work -voptargs="+acc" tp_tb
# vsim +transport_int_delays +transport_path_delays -L altera -L cycloneiii -L gate_work -L work -voptargs=\"+acc\" -sdftyp /tp=tp_vhd.sdo -t 1ps tp_tb
# ** Error: (vsim-3170) Could not find 'D:\ALTERA\testproj\simulation\modelsim\gate_work.tp_tb'.
# Error loading design
# Error: Error loading design
# Pausing macro execution
# MACRO ./tp_run_msim_gate_vhdl.do PAUSED at line 12

Подозреваю, что неправильно заданы имена в настройке test bench:
Проект в Quartus'е назван tp.
test bench name: tp.
top level module in test bench: tp_tb.
design instance name in test bench: tp.
Файл tp_tb.v:

//`timescale 1 ns / 1 ps

module RS;
reg lk;

wire [7:0] Out_byte;
initial
begin
lk=0;
forever lk=~lk;
end
tp DUT
(
.clk(lk),
.Out_byte(Out_byte) // output byte

);


endmodule
Go to the top of the page
 
+Quote Post
bogaev_roman
сообщение Apr 9 2010, 11:12
Сообщение #90


Профессионал
*****

Группа: Свой
Сообщений: 1 088
Регистрация: 20-10-09
Из: Химки
Пользователь №: 53 082



подозреваю, что ошибка в строчке
tp DUT
попробуйте заменить на tp uut
- unit under test сокращенно
и создайте новую тему, а не засоряйте существующую
Go to the top of the page
 
+Quote Post

10 страниц V  « < 4 5 6 7 8 > » 
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th July 2025 - 17:04
Рейтинг@Mail.ru


Страница сгенерированна за 0.01484 секунд с 7
ELECTRONIX ©2004-2016