|
|
  |
Очень нужна принципиальная схема |
|
|
|
Mar 23 2010, 20:32
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
Очень нужна принципиальная схема этого приемопередатчика или verilog описание, пожалуйста, помогите
|
|
|
|
|
Mar 23 2010, 21:50
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
Это на тему http://electronix.ru/forum/index.php?showtopic=66423 мое сообщение переместили, я новичок, можете тыкать пальцем и смеяться, но очень надо) Вобщем схема восьмиканального двунаправленного приемопередатчика с тремя состояниями
|
|
|
|
|
Mar 25 2010, 17:08
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
Никто не поможет???
|
|
|
|
|
Mar 25 2010, 20:28
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
помогите найти принципиальную схему этого приемопередатчика
|
|
|
|
|
Mar 25 2010, 21:13
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
Простите за беспокойство, как описать на Verilog вот такую схему http://www.integral.by/download/2890/5584_AP6.pdf . Или как выглядит принципиальная схема. Спасибо.
|
|
|
|
|
Mar 26 2010, 07:43
|
Гуру
     
Группа: Свой
Сообщений: 3 123
Регистрация: 7-04-07
Из: Химки
Пользователь №: 26 847

|
Цитата(Katty @ Mar 26 2010, 00:13)  Простите за беспокойство, как описать на Verilog вот такую схему http://www.integral.by/download/2890/5584_AP6.pdf . Или как выглядит принципиальная схема. Спасибо. Код module 5584AP6(input wire ez_n, input wire sed, inout wire [7:0] A, inout wire [7:0] B);
assign A = !ez_n && !sed ? B : 8'hzz; assign B = !ez_n && sed ? A : 8'hzz;
endmodule Электрические параметры Verilog не описывает
|
|
|
|
|
Mar 26 2010, 16:38
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
Спасибо. Только проблема с testbench, ругается на порт inout. Я моделирую в nc-verilog
|
|
|
|
|
Mar 26 2010, 20:25
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
Спасибо
|
|
|
|
|
Mar 26 2010, 21:09
|
Группа: Участник
Сообщений: 8
Регистрация: 23-03-10
Из: Москва
Пользователь №: 56 160

|
Вот код и testbench Код module bus(e1,e2,a,y); input e1,e2; inout a,y; reg a1,y1; always @(e1 or e2) begin case ({e1,e2}) 'b00: begin y1=a; a1=y; end 'b01: begin y1=a; a1='bz; end 'b10: begin y1='bz; a1=y; end 'b11: begin y1='bz; a1='bz; end endcase end assign y=y1; assign a=a1; endmodule
module tb; reg y2,a2; reg e11,e22; bus b(e11,e22,a2,y2); initial begin e11='b0;e22='b1; forever begin # 20 e11=~e11; e22=~e22; end end initial begin y2='b0; a2='b1; forever begin # 2 y2=~y2; a2=~a2; end end initial #200 $finish; endmodule
|
|
|
|
|
  |
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|