реклама на сайте
подробности

 
 
> altpll Megafunction - "коррекция" выхода
ainu
сообщение Sep 7 2011, 07:51
Сообщение #1





Группа: Новичок
Сообщений: 7
Регистрация: 13-07-11
Пользователь №: 66 205



Доброго времени суток

Прошу помочь мне в следующем:
Arria GX EP1AGX20CF484
ПЛИС тактируется внешним генератором 27MHz. В проекте использую мегафункцию altpll для получения частоты 270MHz.
Проблема в следующем: после компиляции во вкладке <Compilation Report><TimeQuest Timing Analyzer><Clocks> частота alt2gxb_pll|inst|altpll_component|pll|clk[3] указана 270,05 MHz (period 3.703).

Описание в sdc-файле:
Цитата
derive_clock_uncertainty

create_clock -period 37.037 -name {27MHz} [get_ports {27MHz}]
...
create_generated_clock -source {alt2gxb_pll|inst|altpll_component|pll|inclk[0]} -multiply_by 10 -duty_cycle 50.00 -name {alt2gxb_pll|inst|altpll_component|pll|clk[3]}
...


Подскажите, пожалуйста, что можно сделать в этом случае
Go to the top of the page
 
+Quote Post



Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 18th July 2025 - 05:13
Рейтинг@Mail.ru


Страница сгенерированна за 0.01354 секунд с 7
ELECTRONIX ©2004-2016