Доброго времени суток
Прошу помочь мне в следующем:
Arria GX EP1AGX20CF484
ПЛИС тактируется внешним генератором 27MHz. В проекте использую мегафункцию altpll для получения частоты 270MHz.
Проблема в следующем: после компиляции во вкладке <Compilation Report><TimeQuest Timing Analyzer><Clocks> частота
alt2gxb_pll|inst|altpll_component|pll|clk[3] указана
270,05 MHz (period 3.703).
Описание в sdc-файле:
Цитата
derive_clock_uncertainty
create_clock -period 37.037 -name {27MHz} [get_ports {27MHz}]
...
create_generated_clock -source {alt2gxb_pll|inst|altpll_component|pll|inclk[0]} -multiply_by 10 -duty_cycle 50.00 -name {alt2gxb_pll|inst|altpll_component|pll|clk[3]}
...
Подскажите, пожалуйста, что можно сделать в этом случае