Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: altpll Megafunction - "коррекция" выхода
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
ainu
Доброго времени суток

Прошу помочь мне в следующем:
Arria GX EP1AGX20CF484
ПЛИС тактируется внешним генератором 27MHz. В проекте использую мегафункцию altpll для получения частоты 270MHz.
Проблема в следующем: после компиляции во вкладке <Compilation Report><TimeQuest Timing Analyzer><Clocks> частота alt2gxb_pll|inst|altpll_component|pll|clk[3] указана 270,05 MHz (period 3.703).

Описание в sdc-файле:
Цитата
derive_clock_uncertainty

create_clock -period 37.037 -name {27MHz} [get_ports {27MHz}]
...
create_generated_clock -source {alt2gxb_pll|inst|altpll_component|pll|inclk[0]} -multiply_by 10 -duty_cycle 50.00 -name {alt2gxb_pll|inst|altpll_component|pll|clk[3]}
...


Подскажите, пожалуйста, что можно сделать в этом случае
bogaev_roman
Цитата(ainu @ Sep 7 2011, 11:51) *
Подскажите, пожалуйста, что можно сделать в этом случае

Мне кажется, что в данном случае можно "забить" на небольшую неточность, так как реальная частота у Вас входная внешняя и pll ее просто умножит на 10.
Kuzmi4
2 ainu
Попробуйте derive_pll_clocks
ainu
Цитата(bogaev_roman @ Sep 7 2011, 12:29) *
Мне кажется, что в данном случае можно "забить" на небольшую неточность, так как реальная частота у Вас входная внешняя и pll ее просто умножит на 10.

Остальные частоты преобразуются привильно. 270MHz - частота тактирования трансивера. Не думаю, что можно "забить".

2Kuzmi4: derive_pll_clocks не дает никаких изменений
Kuzmi4
2 ainu
Теперь дошло laughing.gif С утра не сразу соображаю...
В принципе у мну такая фишка была когда входной клок задаётся типа
16.666
после множится/ делится на кратные 3/5 и после получаю период меньше нужного.
Как я понял это проблема разряднойсти, укажите decimal_places побольше, по идее должно помочь, но мериод меньше чем нужно - как для меня - даже лучше cool.gif
ainu
27 MHz - период 37.037 ns (37 037 ps)

"The smallest resolution of all times units is one picosecond" (с)
3 703 ps - и дают те самые 270,05 MHz

можно надеяться, что это только "опечатка" в отчете о компиляции и в железе частота просто умножится на 10 wink.gif
_Anatoliy
Цитата(ainu @ Sep 12 2011, 09:02) *
27 MHz - период 37.037 ns (37 037 ps)

"The smallest resolution of all times units is one picosecond" (с)
3 703 ps - и дают те самые 270,05 MHz

можно надеяться, что это только "опечатка" в отчете о компиляции и в железе частота просто умножится на 10 wink.gif

Конечно умножится.ASI или SDI юзаете? Проверено не раз.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.