Цитата(IanPo @ Feb 11 2012, 09:07)

hynix hy57v641620FTP-H
по справ.листку tHZ=tAC3=5.4
тогда понятно. при периоде 8 нан, смотря на то, что пишет TQ, нужно либо
1. изменить тактирование ПЛИС, сделать отдельный клок на память и подвигать его фазу.
2. инвертировать клок на плис и учесть дополнительную задержку на 1 такт.
ЗЫ. Поправил вам sdc ешник, ИМХО так более правильно.
Код
set_time_format -unit ns
#
create_clock -name {F14clk} -period 71.428 [get_ports {iF14}]
#
derive_pll_clocks
set SDRAM_CLK altpll0_inst|altpll_component|pll|clk[0]
create_generated_clock -name pSDRAM_CLK -source $SDRAM_CLK [get_ports {oSDR_CLK}]
set_clock_groups -exclusive -group [list F14clk $SDRAM_CLK pSDRAM_CLK ]
set_output_delay -clock pSDRAM_CLK -max 1.5 [get_ports {oSDR_A[*] oSDR_BA[*] oSDR_RAS oSDR_CAS oSDR_WE oSDR_DQM_L oSDR_DQM_H ioSDR_DQ[*]}]
set_output_delay -clock pSDRAM_CLK -min -0.2 [get_ports {oSDR_A[*] oSDR_BA[*] oSDR_RAS oSDR_CAS oSDR_WE oSDR_DQM_L oSDR_DQM_H ioSDR_DQ[*]}]
set_input_delay -clock pSDRAM_CLK -max 5.4 [get_ports {ioSDR_DQ[*]}]
set_input_delay -clock pSDRAM_CLK -min 2.5 [get_ports {ioSDR_DQ[*]}]